Systemtheorie Digitaler Systeme

Größe: px
Ab Seite anzeigen:

Download "Systemtheorie Digitaler Systeme"

Transkript

1 Systemtheorie Digitler Systeme Skript Vorlesung

2 Inhltsverzeihnis. Grundlgen Einführung in die Theorie der Shltsysteme Definition (von Wunsh): System Signlverreitungsshem (Blk Box) Signlklssifizierung Shltsysteme Mthemtishes Modell Aufgen der Shltlger Geshihte der Shltlger Tehnishe Entwiklung Litertur (Eine sujektive Auswhl von R. Rohde) Binäre Funktionen Modellildung Zuordnungstelle Binäre Vrile Binärvektoren Binäre Funktion Mthemtishe Modelle für inäre Funktionen....3 Elementre Funktionen, Opertionen, Gesetze, Verknüpfungsgtter Hrdwre-Relisierungsmöglihkeiten von Booleshen Opertionen Rehenregeln für Booleshe Ausdrüke Anlyse und Vereinfhung von Kontktshltungen Antivlenz-Opertion (XOR):,, Äquivlenz-Opertion (XAND): ~,,, Orthogonlität-Lemm Entwiklungs-/ Zerlegungssätze und Normlformen Normlform (NF) Disjunkte Zerlegung Konjunktive Normlform (KNF) Trnsformtion der Modelle und Formen K(f) KP A(f) KP E(f) KP

3 2. Entwurf komintorisher Shltsysteme Syntheseprinzipien Entwurfsgrundlge: Gtter (gte = Tor, Pforte) Gtter- und Zweigshltungen Anlyse des Verhltens Wesentlihe Informtionen zur Synthese Synthese von zwei- und mehrstufigen Shltnetzen Zweistufiges inäres System Effektiver Syntheselgorithmus mit TVL Einfhe Synthese von mehrstufigen komintorishen Systemen Grundprinzip: Anwendung des Assozitivgesetzes Anwendungen Entwurf von Multiplexer-Shltungen Sttishe Neenedingungen Tehnishe Interprettion der Neenedingung Auswirkungen der Neenedingungen uf die Huptfunktionen/Sollverhlten Automten und sequentielle Shltsysteme Modell Prktishe Erfhrung Allgemeine Struktur Allgemeines Verhltensmodell Zeitlihes Verhlten eines Automten Automtentypen: Moore-Automten und Mely-Automten Grenzfälle Beshreien des Verhltens/ weitere Automtenmodelle Binäre Automten Aus tehnishen Gründen (z.b. Störsiherheit, einfhe Relisierrkeit, ) werden lle uftretenden Größen inär odiert Entwurf sequentieller Shltsysteme Shltsysteme mit konzentrierten Speiherelementen Struktur von FF Shltwerken FF-Shem/ Symolik Verhltenseshreiung untershiedliher FF-Typen Synthese von Shltungen mit FF Anlyse des Verhltens von FF-Shltungen Anlyse Algorithmus

4 4.3 Speihertehnishe Relisierungen Zusmmenfssung Konsulttion Anhng Booleshe Ausdrüke/ Booleshe Formen (BF) Reine Formen Boolesher Funktionen Rehenregeln der Booleshen Alger Boolesher Vernd BV(k) Booleshe Ringe BR(k) Trnsformtionsgesetze Alle Shltfunktionen von zwei Vrilen und ihre Interprettion ls inäre Opertion Relisierungssis und zugehörige Form einer Funktion-TVL für den zweistufigen Komintorikentwurf (nh D. Bohmnn) Flip-Flop (FF) Gleihungen Telle der FF-Ansteuerwerte

5 . Grundlgen. Einführung in die Theorie der Shltsysteme.. Definition (von Wunsh): System Ein von der Umwelt grenzres einheitlihes Gnzes wird ls System ezeihnet...2 Signlverreitungsshem (Blk Box) (tehnishes) System mit Ein- und Ausgngsgrößen zur Kommuniktion mit der Umwelt. Op {X, Z} X Z Y Bündel von Leitungen X Vektor der Eingngsgrößen: X = X n Y Vektor der Ausgngsgrößen: Y = Vektor der Zustndsgrößen: Z = Y m Z Z l, Op = Opertionen = Verhlten des Systems Gilt n > oder/und m > => Mehrgrößensystem, sonst Eingrößensystem. Eingngs-, Ausgngs- und Zustndsgrößen werden durh ihren Verluf nh ls Signle (Träger von Informtionen) ezeihnet. Informtion = eseitigte Unsiherheit Mthemtishes Modell für Signl: Zeitfunktion x t mit x X, t T [oder Rum-Zeit-Funktionen x t,, 2, v, h = horizontle Komponente, v = vertikle Komponente] mit X R; X = W X t = Werteereih und T R; T = D X t = Definitionsereih 5

6 Diskretisierung des Werteereihs..3 Signlklssifizierung X T Kontinuierlih (zeitstetig) Diskontinuierlih (zeitdiskret) x(t) x(k) Anlog (wertkontinuierlih) t T A k Anloges Signl Atstperiode T A Atstzeit t = k T A, k Z Atstsignl x k (smpled signl) x(t) x(k) Diskret (wertdiskontinuierlih) t Stufen-/Treppensignl Nur estimmte Werte zugelssen, Quntisierung des Werteereihs T A Digitles Signl (Spezil: Binäres Signl) Diskretisierung des Definitionsereihs k..4 Shltsysteme Tehnishe Einrihtungen in denen die wesentlihen Kenngrößen nur diskrete, speziell inäre Werte nnehmen und in denen die Signlverreitung uf der Grundlge oolesher Opertionen erfolgt. Bestimmungszwek ist die Üertrgung, Verreitung und Speiherung digitler Signle. Beispiele für Shltsysteme/ Digitle Systeme Digitlrehner Digitle Kommuniktionstehnik/ Hndy Digitle Messtehnik Digitle Steuer- und Automtisierungstehnik Modelle für nihttehnishe Systeme (Simultion, Dtennken, ) Teilsysteme mit CPU, Signlprozessoren, Speiher, Relis, digitle Anzeigen..5 Mthemtishes Modell Shltlger (tehnishe Definition): Struktur- und Verhltenstheorie der Shltsysteme Shltlger (mthemtishe Definition): Alger des Booleshen Verndes (BV) = Booleshe Alger 6

7 Alger = [vordefinierte] Rehenvorshrift = lgerishe/ mthemtishe Struktur A = (M, Op) mit M = Trägermenge, Op = Opertionen uf Reltionen Idelisierungen ) wohldefinierte diskrete Zustände (tehnishe Tolernzen) ) sprungförmige Änderungen (egrenzte Gültigkeit des Moduls) ) Astrktion von Energieträger, Typ, Buform, Tehnologie..6 Aufgen der Shltlger ) Strukturentwurf/ Shltungssynthese ) Verhltensnlyse ) Prüfung und Fertigung von Shltsystemen d) Lösung ussgenlogisher Proleme..7 Geshihte der Shltlger Aussgenlogik: Aristoteles Shltlger: Gottfried Wilhelm Leiniz (646 76), George Boole (85 864), Augustus De Morgn (86 87) Moderne Shltlger: Nkshim (936), Sheglkin (928), Shnnon (938), Pere/ Quine/ MCluskey (952, 956), Reed/ Muller (953), Krnugh/ Zkrewski (96), Thyse (98), Bryton (986), Yng/ Ciesielski (2)..8 Tehnishe Entwiklung 938 Relisrehner 94 Elektronenröhren Rehner (Zuse, et.) 955 Trnsistor 965 Mikroelektronik (IC s)..9 Litertur (Eine sujektive Auswhl von R. Rohde) Gleihungen und Ürungslätter Büher mit kzeptler theoretisher Bsis Bohmnn, Dieter: Einführung in die strukturelle Automtentheorie, Hnser Fhuhverlg Znder, Johim: Logisher Entwurf inärer Systeme, Verlg Tehnik Gössel, Mihel: Automtentheorie für Ingenieure, Akdemie-Verlg weitere Büher Srt, Gerd: Synthese und Anlyse digitler Shltungen, Oldenourg Verlg Pernrds, Peter: Digitltehnik, Hüthig Verlg Lipp, Hns Mrtin: Grundlgen der Digitltehnik, Oldenourg Verlg 7

8 Büher zur Digitltehnik mit Anwendungsspekten, er theoretishem Bstelniveu Lihterger, Bernhrd: Prktishe Digitltehnik, Hüthig Verlg Orlowski, Peter: Angewndte Digitltehnik, digonl-verlg Jutzi, Wilhelm: Digitlshltungen Eine Einführung, Springer Weißel, Rlph: Digitle Shltungstehnik, Springer Englishsprhige Drstellungen Dniels, Jerry: Digitl Design from Zero to One, Wiley Mno, Morris: Digitl Design, Prentie Hll Lee, Smuel: Modern Swithing Theory nd Digitl Design, Prentie Hll Nelson, Vitor P.: Digitl Logi Ciruit Anlysis nd Design, Prentie Hll Friius, Eugene D.: Modern Digitl Design nd Swithing Theory, CDC Press.2 Binäre Funktionen.2. Modellildung Grundlge ist die Zuordnung deutlih untersheidrer/ klssifizierrer Werte einer gegeenen (physiklishen) Größe zu inären, d.h. zweiwertigen Symolen ( und, whr und niht whr, Low und High, - und, et.) einer mthemtishen Modellvrilen x..2.2 Zuordnungstelle Nr. Physiklishe Größe Logik Wert Logik Wert (elektrishes) Potenzil Positive Logik Niedrig, Low Hoh, High 2 (elektrishes) Potenzil Negtive Logik Hoh, High Niedrig, Low 3 Strom Klein zw. Groß 4 Leitwert Klein Groß 5 Widerstnd Klein Groß 6 Kontkt In Ruhe (nihtleitend) In Areitsstellung (leitend) 7 Lmpe, LED Aus An 8 Trnsistor Gesperrt Durhgeshltet Tehnish/ Physiklish existieren Tolernzereihe, Nihtentsheidrkeitsereihe 8

9 ES x(t) x in t t T O x(t) Zuordnung x in T U t ES = Entsheidungsshwelle, T = Threshold = Shwelle, Unentsheidrkeitsereihe t.2.3 Binäre Vrile x, = B, Belegungsmenge Einheit: Bit, Binry Digit (Binärzhl) = Informtionstom.2.4 Binärvektoren x = x,, x k, k = B k B k repräsentiert die Menge ller k-stelligen inären Belegungsvektoren und enthält 2 k Elemente. Mähtigkeit der Menge: B = 2 = 2, B 2 = 2 2 = 4 Eine konkrete Auswhl eines Binärvektors =, 2,, k B k zur wertmäßigen Konkretisierung der Vrilen x is x k heißt Belegungsvektoren..2.5 Binäre Funktion Aildung f: B k B Shreiweise: y = f x,, x k = f x, Binäre Vektorfunktion (Funktionenündel) f: B k B n mit y = f x,, y n = f n x und x B k Blokshltild-Interprettion für Eingrößensystem: x f(x) y 9

10 Blokshltild-Interprettion für Mehrgrößensystem: x y x f(x) f(x) y.2.6 Mthemtishe Modelle für inäre Funktionen ) Funktionswerte-/Whrheitstelle Binäre Funktionen einer Vrilen: y = f x mit x, y B (vershiedene Ausgngverhlten ei den möglihen Eingngselegungen) x f f f 2 f 3 f, f 3 trivile Konstntenfunktion Wie viele Funktionen n f k git es üer B? n f = 4 = 2 2 = 2 2 Binäre Funktionen von 2 Vrilen: x x 2 f f f 2 f 3 f 4 f 5 f 6 f 7 f 8 f 9 f f f 2 f 3 f 4 f 5 n 2 f = 6 = 2 4 = 2 22 Allgemein gilt: Die Anzhl der inären Funktionen üer B k in Form von y i = f i x mit x B k in Ahängigkeit von k Vrilen eträgt n k f = 2 2k = 2 n x k Beispiel: k = n x = 2 = = n x = Anzhl der Argumentvrinten n f = Anzhl der Funktionswerte ) Dezimlkennzeihnung Beispiel: BCD (Binry Coded Deiml) x 2 x x f(x) dez(x) Binärdrstellung der Zhlen x = in x elieige Funktion Dezimldrstellung der Zhlen Dezimlkennzeihnung von f x : f dez x = dez x f x = = 2,3,5,7

11 ) Dezimläquivlent der f-splte (Summe der 2er-Potenzen von dez(x)) siehe Beispiel: f dez = = 72 Eindeutige Kennzeihnung von f, er Zhlen eventuell sehr groß und wenig für Opertionen mit f geeignet. d) Listendrstellung BVL = Binärvektorliste = x f x = (Zeilen der TVL sind Lösungen der Funktion (Konjunktionen)) x 2 x x f x = = Menge von Binäevektoren Kompktere Drstellung oft in TVL = Ternärvektorliste (dreiwertig:,, -) (drittes Zeihen elieig, meist ein wgerehter Strih oder φ) Mthemtishe Begründung: Tutologie x x = (stets whr) Weiter gilt: = = zu Beispiel: f x = x 2 x x = x 2 x x x 2 x x x 2 x x = x 2 x x x = x 2 x = x 2 x e) Krnugh-Pln (KP, K-mp), zw. Krnugh-Veith-Digrmm (KVD, KV-Digrm) Ein KP ist eine rehtekige Anordnung von Funktionswerten f(x), woei die den Zeilen und Splten zugeordneten Belegungsteilvektoren von x in Gry-Code ngegeen werden. zu Beispiel: f x 2 x x = x 2 = x = x = x 2 f(x) x f(x) x 2 x x x Im rehten Digrmm werden die Splten/ Zeilen mit einem Strih mrkiert, in der die jeweilige Vrile ist. Für lgerishe Drstellung vergleihe BVL, d.h. smmeln ller Elementrkonjunktionen EK, für die gilt f x = f EK x =. f x = x 2 x x x 2 x x x 2 x x x 2 x x

12 2 n zusmmenhängende Einsfelder knn mn uh vor dem Auslesen zusmmenfssen. x f(x) x 2 x f x = x 2 x x 2 x zu Gry-Code: Von Codewort zu Codewort ändert sih genu eine Stelle. Zyklish fortsetzr. Symmetrieeigenshften. In x Splten wellenförmige und Fortshreiung mit der Periode 2 i+2. Codetelle: x 3 x 2 x x x i Neensymmetriehse Neensymmetriehse Huptsymmetriehse x 3 höhstwertigste Splte, n Symmetriehsen wird gespiegelt, jede Splte eginnt mit 2 i Nullen Beispiel: KP mit 4 Vrilen d g(x) g(x) d d D(g) = D(g) = Disjunktive Funktion Shritte eim Auslesen: Möglihst große zusmmenhängende Einsfelder (nur 2 n viele Felder, die zusmmen eine rehtekige Figur formen) ilden. Jede Eins muss in mindestens einem Feld erüksihtigt werden. 2

13 Diese Auslesen und den Vrilen nh folgendem Shem Werte in der TVL zuweisen: - wenn Feld nur der Vrile üerdekt, dnn ist Vrile uh in TVL - wenn Feld nur der Vrile üerdekt, dnn ist Vrile uh in TVL - wenn Feld sowohl und der Vrile üerdekt, dnn ist sie in TVL, wird lso in der Konjunktion niht erüksihtigt In dem Fll üerdekt ds Feld die Vrile nur ei, die Vrile d nur ei, die Vrilen und sowohl ei und, ddurh ergit sih für die Konjunktion d. Für die gesmte Funktion: g x = d d Redundnte (üerflüssige) Konjunktion entfernen, d lle Einsen shon von nderen Konjunktionen üerdekt werden. So ergit sih: g x = d Beispiel: KP mit 5 Vrilen d e h(x) f) Boolesher Rum (B k, RE = Rumstruktur, rierende Eigenshft) g) Entsheidungsäume BDD = Binry Deision Digrmm zu Beispiel: x 2 x x f x = = x 2 x x Im BDD entspriht jeder Pfd genu einer Belegung. f Wurzelknoten root-node x V x V 2 V 3 innere Knoten internl nodes x 2 V 4 V 5 V 6 V 7 3 Endknoten terminl nodes (enthlten f-werte)

14 Siehe o es in oerer Telle Komintionen git, wenn niht dnn. Reduzierung des Bumes: nur jeweils einen und TN (Terminl Node) TVL-Regeln = Knoten von entfällt f f x V V x V 2 V 3 V 2 V 3 x 2 V 4 V 5 V 6 V 7 V 5 V 6 V 4 und V 7 entfllen, weil sie redundnt sind. h) Algerishe Funktionsdrstellung Grundlegende Beweismittel. Siehe Umdruk (Skript) Booleshe Formen (BF). Einfhste Formen: Konstnten, und Vrilen x, x,, x k (oder uh,,,, et.) Beispiel: f x = = x, f 2 x = x, f 3 x = x 2 BF höheren Grdes: Verknüpfung mit (Disjunktion, OR) und (Konjunktion, AND) Beispiel: f 4 x = f 2 f 3 = x x 2 = x x 2 f 4 x = x = x 2 = x = und x 2 = Beispiel: f 5 x = f 2 f 3 = x x 2 f 5 x = x = x 2 = Auslesen einer BF us BVL, Funktionswertetelle, KP, et. Alle Belegungen B k mit f = werden ls Konjunktion mit den Vrilen x i x usgelesen, woei gilt: ) i = (x i in Konjunktion) ) i = (x i in Konjunktion) ) i = (x i niht in Konjunktion) Die usgelesene Konjunktion von f(x) werden lle disjunktiv zu einer BF von f(x) verknüpft D(f). 4

15 zu Beispiel: x 2 x x f x = = x 2 x x f x = x 2 x x x 2 x x x 2 x x x 2 x x = x 2 x x 2 x siehe e) für mehr Informtionen.3 Elementre Funktionen, Opertionen, Gesetze, Verknüpfungsgtter Binäre Funktion ls Verhltensmodell von Shltnetzen. Ziel: Verhltensnlyse (Gesmtverhlten ermitteln) f(x) x y =f(x) y 2 h*(y) z=h*(y)=h(x) g(x) y 2 =g(x) y gesuht ist x Aildungsprodukt z = y 2, y = g,,, f,, =,, = x Gesmtverhlten z = x : h(x) z=h(x) 5

16 Shuen nh Zustnd von,, herusfinden von y, y 2 nhshuen in y Telle, ws es nun wird erhltener Wert für y in y Telle für,, Zustände eintrgen. In x genu die doppelte Anzhl von Nullen und Einsen wie in y 2, y. Prolem: unhndlihe, dimensionsegrenzte Drstellung in KP Nutzung nderer Modelle unter Einführung geeigneter Opertionen.3. Hrdwre-Relisierungsmöglihkeiten von Booleshen Opertionen Kontktshltungen KS mit Kontkt (Ursprungsform/ Strompfde = Leitwertlogik) f = wenn Lmpe leuhtet, AK = Areitskontkt, RK = Ruhekontkt f f 2 f 3 f f f 2 f 3 f 4 AK RK BF f f = Identität f 2 f 2 = Negtion/NOT f 3 f 3 = immer f 4 f 4 = immer Konstnt-/Trivilfunktionen Relisierung mir Relis (R etätigt r): + + R r RK f 2 = Gttershltungen mit Kontkt (Potenzillogik eventuell gemisht mit Leitwertlogik) zu f 2 : Negtor DIN 443, 49 (Verknüpfungsshltungen und Shltsymole) f 2 = 6

17 CMOS (Complementry Metl Oxide Surfe)-Shltungen für Inverter/Negtor: (Trnsistoren im Bild vom Anreiherungstyp (Enhnement Type)) T p + T p Strom fließt nur wenn high low p-leitend low f 2 = T n n-leitend T n Strom fließt nur wenn high high low Potenzile T n T p f Leitwertlogik Wenn = fließt üer T p Strom zu f 2. Nur im Umshltmoment fließen Ströme (hohe Frequenzen hohe Ströme), sonst reht effektiv. und sind Potenzile ei (z.b. -5V, 5V). Kontktshltungen mit 2 Kontkten + f 5 f 6 + f 5 f 6 BF f 5 f 5 = = Reihenshltung, UND (AND) f 6 f 2 = = + Prllelshltung, ODER (OR) Gttershltungen mit 2 Kontkten zu f 5 : UND-Gtter f 5 = 7

18 zu f 6 : ODER-Gtter f 6 = NAND (NOT-AND)-Gtter: nh De Morgn: f NAND = = f NAND wenn = =, dnn f =, sonst Shltsymol Kontktshltung CMOS-Shltung + + f NAND f NAND p p n f NAND n Definition: Die mthemtishe Struktur (Trägermenge: Binärvektoren B k, Opertionen:,, Negtion) heißt Boolesher Vernd (BV).3.2 Rehenregeln für Booleshe Ausdrüke = = und noh viele mehr.3.3 Anlyse und Vereinfhung von Kontktshltungen Methoden: Reihen- und Prllelshltungen extrhieren (Reihe =, Prllel = ) Beispiel: Shltungen us Shließer oder Öffner (Negierte Logik). Beim Auslesen muss mn sih frgen, ws getn werden muss, dmit Strom fließt? (etätigen =, nihts tun = ) 8

19 + f Verknüpfung der eiden Teile durh UND (Reihenshltung) f = = = ddurh reduziert sih die Shltung zu + f lle möglihen Strompfde (Teilfunktionen, Konjunktionen Reihenshltung) extrhieren und disjunktiv (ODER) verknüpfen f = = =.3.4 Antivlenz-Opertion (XOR):,, Funktion ist gleih, wenn die Anzhl ller mit elegten Vrilen ungerde ist. f f = = = f ~ 9

20 Eigenshften und Regeln (siehe uh Anhng) x y = x y = x y = x y x = eide Seiten mit erweitern = x Umformen möglih Homogenisieren: wenn = = Definition: Die mthemtishe Struktur (Vektor von Vrilen B k,, ) ist ein Ring, der wegen = und = ls Boolesher Antivlenz-Ring BR k ezeihnet wird. BV = Boolesher Vernd BR = Boolesher Ring Umrehnungsgesetze BV k BR k BV k BR k.3.5 Äquivlenz-Opertion (XAND): ~,,, Funktion ist gleih, wenn die Anzhl ller mit elegten Vrilen gerde ist. f f ~ = ~ = = f ~ Eigenshften und Regeln (siehe uh Anhng) x~ = eide Seiten mit ~ erweitern ~ = x Umformen möglih Auh Homogenisieren möglih. Definition: Die mthemtishe Struktur (Vektor von Vrilen B k,, ~) ist ein Ring, der wegen ~ = und = ls Boolesher Äquivlenz-Ring BR ~ k ezeihnet wird. Umrehnungsgesetze BV k = BR ~ k BV k BR ~ k ~~ ~ ~ 2

21 .3.6 Orthogonlität-Lemm Definition: Gilt für eine Menge f,, f n von inären Funktionen f i = f i x mit x B k die Bedingung i j: f i x f j x = x, so heißen diese Funktionen orthogonl zueinnder und es folgt n i= f i x n = f i x i= orthogonl! Hinweis: im Allgemeinen gilt f i x f j x = f i f j f i f j =, wenn f i orthogonl f j Beispiel: x 2 x x f x, f 2 x, f 3 x Orthogonlität edeutet niht üerlppende Felder im KP f f 2 f 3 im B k x 2 x x Disjunkte Mengen von Belegungsvektoren on-sets x x x 2 D f = D f 2 = D f 3 =.4 Entwiklungs-/ Zerlegungssätze und Normlformen f X = f x, P mit P = Prmetervrile.4. Normlform (NF) Stndrddrstellung für Funktionenmengen mit funktionshrkteristishen Koeffizienten Knonishe Funktionsdrstellungen ( gerde, regelmäßig, mit Wiederholstruktur, ) 2

22 in den knonishen Normlformen werden die Funktionen nh llen Vrilen entwikelt und die knonishen Normlformen sind den inären Funktionen eindeutig zugeordnet Entwiklungs-/ Zerlegungssätze dienen zur Koeffizientenestimmung.4.2 Disjunkte Zerlegung Anstz: f x = f, x = p x q x p, q = Restfunktionen / Teilfunktionen / Kofktoren = : f = p x = : f = q x Boole-Shnnon-Zerlegungsstz f x = f = f = = f f orthogonl: f x = f = f = Beispiel: f(x) p=f(=) q=f(=) Entwiklung nh 2 und 3 Vrilen f, = f, f, = f, f, f, f, Knonishe Disjunkte Normlform: DNF f = f, f, f, f, Bei mehr Vrilen lle möglihen Vrinten (2 n Funktionen, n = Anzhl der Vrilen). f x,y = Funktionswert für die Belegung mit = x, = y zu Beispiel: DNF f = Elementrkonjunktion EK, x = DNF f = k i= i ~x i EK, x f B k = Vrile (niht mit verwehseln), k = Anzhl ller Vrilen Verkürzte Form für lle EK, die enthlten, in KV 4 Stük, gnze Reihe. Für lle Funktionswerte = werden die Konjunktionen herusgeshrieen, Nullen ei Vrilen durh Negtion usgedrükt. 22

23 f(x) EK ED B 3 f zu DNF, dominnt zu KNF, dominnt Elementrdisjunktionen (ED), ei denen f(x) = ist, werden mit UND zur Konjunktive Normlform (KNF) verknüpft. EDs sind die Negtion nh De Morgn der EKs z.b. EK = ED=EK==. Elementrkonjunktionen (EK), ei denen f(x) = ist, werden mit ODER zur Disjunktiven Normlform (DNF) verknüpf. Tehnishe Interprettion der DNF: Multiplexer (MUX) zu Beispiel: MUX3 zw. MUX 8-to- ( us 8),, = Adresse, Selektierungs-/ Steuervrile = = = = = = = = = = = = = = f(,,) f(,,) MUX 8-to- f() Jede inäre Funktion ist shltungstehnish relisierr, d.h. ds Syntheseprolem ist stets llgemein lösr..4.3 Konjunktive Normlform (KNF) g x = f x g = g = g = f = f = f = f = f f f = f = f = 23

24 f, = f, f, f, f, In Elementrdisjunktionen (ED) werden Vrilen negiert, wenn sie gleih sind. Z. B. Wenn =, niht eintrgen, sondern. Gleihes gilt für ds Eintrg in den KV: edeutet =. Alle EDs mit f = werden durh Konjunktionen zur KNF verknüpft. EDs mit f = fllen utomtish wegen ODER Verknüpfung herus. zu Beispiel: KNF f = Zur Bestimmung der KNF us der DNF wird zunähst die DNF doppelt negiert: DNF f = = Auflösen der ersten Negtion nh De Morgn und Ausmultiplizieren: = Ashließend zweite Negtion nh De Morgn: = KNF f Eine inäre Funktion f(x) heißt eineindeutig in DNF(f) [zw. KNF(f)] drgestellt, wenn sie nh llen Vrilen von x B k disjunktiv [konjunktiv] zerlegt wurde. Sie esteht us so vielen EK, x / Mxterme [ED, x / Minterme] wie die Funktion Einswerte [Nullwerte] esitzt. Wegen Orthogonlität gilt: DNF f = EK, x f = EK, x f = ANF f B k B k Antivlenz- und Disjunktive Normlform sind is uf die Huptopertionszeihen zw. gleih. KNF f = B k ED, x f = B k ED, x f = ENF f Äquivlenzform entspriht KNF mit untershiedlihen Huptzeihen. Beispiel: f x = ENF f = ~ ~ 24

25 .5 Trnsformtion der Modelle und Formen Grundlge: reine ooleshe Formen Shem: Disjunktive Form D(f) Konjunktive Form K(f) Orthogonlisierung BF, KP, TVL Antivlenzform A(f) Äquivlenzform E(f).5. K(f) KP Methode A: Klmmern uflösen entsprehend Distriutivgesetz ( Ausmultiplizieren ), K(f) D(f) Methode B: Negtion von f(x) nh De Morgn g x = f x, Eintrgen der Einsen von g(x) und Negieren der Zelleninhlte Beispiel: f x = d d f x = d d g x = d d = d d d g(x) d f(x) Methode C: Direkter Weg üer Eintrgen der Nullen von f(x) unter Behtung der negierten Bedeutung der Vrilen in den EDs ( = und = ). Ds Bilden einer K(f) us einem KP erfolgt nlog durh Auslesen der Nullen us KP und Bildung der disjunktiv verknüpften EDs, woei die Vrilen wieder negiert zu notieren sind. d orthogonl D o f = = d d d d orthogonl D o f A o f = d d d (im Allgemeinen x y = x y xy) 25

26 Shemtisierung des Eintrgens von K(f) mittels TVL d d geg.: K f = D f = mit den Trnsformtionsgleihungen,, Formle Shreiweise: TVL-Trnsformtion D f = K f Erkenntnisse: um eine K(f) zu erhlten, wird f x = g x enötigt ls D f = D g ; f x knn z.b. erhlten werden, in dem us dem KP für f(x) die Nullen usgelesen werden (siehe oen Methode C)..5.2 A(f) KP Üerlgern der Einsen ehten Pünkthenmethode : = = lternierend = Sttt einer Eins wird ein Punkt in jedes Feld einer Elementrkonjunktion eingetrgen. Am Ende werden die Punkte durh eine Null ersetzt, wenn ihre Anzhl im Feld gerde ist, oder durh eine Eins, wenn ihre Anzhl im Feld ungerde ist. Auslesen von A(f) us KP: Üerlgerung der Einsen shwer kontrollierr A o (f) orthogonl uslesen. Beispiel: f x = d d d d d d A o f = f x = d d d (eventuell x = x nwenden).5.3 E(f) KP Üerlgerung der Nullen ehten Pünkthenmethode : = ~ = lternierend ~~ = 26

27 Sttt einer Null wird ein Punkt in jedes Feld einer Elementrdisjunktion eingetrgen. Am Ende werden die Punkte durh eine Null ersetzt, wenn ihre Anzhl im Feld ungerde ist, oder durh eine Eins, wenn ihre Anzhl im Feld gerde ist. Beispiel: f x = ~ d ~ d ~ d d = = d = d = Hilfs-TVL: d E f = d NDM E f = d d Auslesen E(f) orthogonl ls TVL (nh Nullen shuen). d d E o f = E f = = K f 27

28 2. Entwurf komintorisher Shltsysteme 2. Syntheseprinzipien 2.. Entwurfsgrundlge: Gtter (gte = Tor, Pforte) DIN: verzögerungsfreies Verknüpfungselement / Opertor tehnishe Relisierung einer BF 2..2 Gtter- und Zweigshltungen Beispiel: f = gte-type rnh-type + f f Relisierungsprinzip gte-type rnh-type Opertor Gtterelement Kopplung (Struktur RS: Reihenshltung, PS: Prllelshltung Vrile Kopplung Element (Kontkt) 2..3 Anlyse des Verhltens Beispiel: = f ~ v, v 2 = Zwishenvrile, Teilfunktion v =, v 2 = f x = v v 2 = = v v 2 f (x) Anlyseprinzip ) Einführung von Zwishenvrilen (Teilfunktion) ) Shrittweise Teilfunktion ersetzen 28

29 Beispiel: g 2 g f(x) g 3 f x = g 2 g 3 = g 2 g 3 g = g 2 = g = = = g 3 = g = = = f x = = 2..4 Wesentlihe Informtionen zur Synthese zu relisierende Funktion f(x) und eventuelle Neenedingungen (NB) vorgegeene Relisierungssis (RB), z.b. NAND-Gtter Grundstruktur (z.b. zweistufige Gttershltung) RB: Grundmenge von Gttertypen (Teilfunktionen) VRB: vollständige RB liegt vor, wenn dmit eine elieige inäre Funktion relisierr ist 2.2 Synthese von zwei- und mehrstufigen Shltnetzen 2.2. Zweistufiges inäres System Vorteile: elieige Funktion ei gegeener VRB relisierr hohe Areitsgeshwindigkeit einfher Entwurf (TVL-Algorithmen) Zwishenshritt für Weiterentwurf Nhteile: im Allgemeinen erhöhter Shltungsufwnd tehnish ist Forderung nh hoher Gttereingngszhl niht erfüllr 29

30 ? Prinzip: x 2 x x 2??? f(x) x k., 2. Stufe. Stufe (Negtion) hier homogene Eenen (in einer Eene nur gleihe Gtterrt) Effektiver Syntheselgorithmus mit TVL i) Grundstruktur und RB wählen, zw. vorgegeen ii) Ansteuervrilen für Gtter der. Eene us zugeordneter TVL estimmen (TVL enthält Struktur-Info) Anmerkung: D f = NDM NDM mit TVL:,, ; und Formänderung ehten z.b. gilt K f = D f es folgt: K f = D f et. Beispiel : AND-OR f(x) D f = = AND AND f Beispiel 2: NAND-NAND f = f = NAND f OR AND 3

31 Beispiel 3: OR-AND (Nullen von KV uslesen) NDM D f = D f = K f = = f x = f Beispiel 4: NOR-NOR (siehe Beispiel 3) f x = NOR Beispiel 5: NOR-OR D f = NDM D f = f x = NOR 3 NOR OR f Nh zweifher Negtion, uflösen eider äußerer und einer inneren Negtion. Beispiel 6: OR-NAND D f f x = f f Zweifhe Gesmtnegtion. Eine uflösen, dnn innere uflösen, zweite äußere elssen. Beispiel 7: NAND-AND D f = f x = NAND NAND AND NOR

32 f Beispiel 8: AND-NOR D f f x = AND AND NOR f D f Einsen uslesen, Konjunktionen mit ODER verknüpfen K f = D f Nullen uslesen, für K f dnn negieren. Wenn mn Konjunktionen durh ODER verknüpft = f x 2.3 Einfhe Synthese von mehrstufigen komintorishen Systemen 2.3. Grundprinzip: Anwendung des Assozitivgesetzes Beispiel: i i+ f(x) i i+ f(x) n n Noh weitere Aufspltung möglih. f x = 2 n = 2 n Anwendungen ) Die egrenzte Anzhl tehnish relisierrer Gttereingänge zwingt zum Empfng von mehrstufigen Shltungen. ) Die Signldurhluf-/ Verzögerungszeiten in der Gesmtshltung werden größer, er die Anzhl der Eingänge pro Gtter werden relisiert. 32

33 OR-Gtter f OR = 2 i i+ n NAND-Gtter: f NAND = i i+ n Auflösen durh doppeltes Negieren. f NAND = i i+ n 3 Elemente von NAND-Gttern. Bei jeder weiteren Aufteilung zwei weitere je Teilstük. n f(x) NOR-Gtter f NOR = 2 i i+ n 2.4 Entwurf von Multiplexer-Shltungen MUX relisieren direkt die knonishe DNF(f), d.h. die Shnnon-Expnsion f(=) f(=) MUX 2-to- f(x) f x = f = f = f f f, f = Kofktoren, Restfunktionen = Selektierungs-/ Steuer-/ Adress-Unterrum-Vrile 2 Vrilen f, f, f, f, MUX 4-to- f(x) f x = f, f, f, f, 33

34 Beispiel: d f(x), = Selektierungsvrilen Es wird immer zugehöriger Unterrum etrhtet, welhe Funktion für restlihe Vrilen könnte die dortigen Ergenisse ringen? f, = f =, = = d f, = f, = d f, = d d d = d MUX 4-to- f(x) 2.5 Sttishe Neenedingungen Beispiel: Lnghoelmshine, = Endshlter Umsteuersignl (Sollverhlten) U x = Physiklishe Neenedingung: Die Endshlter und können (im Normlfll) niemls gleihzeitig durh den Werkzeugshlitten etätigt werden. Sttishe Neenedingung φ x = = (restriktive/ usshließende Gleihung) 2.5. Tehnishe Interprettion der Neenedingung ) tehnish physiklish niht möglihe Werte der Vrilen, oder ) verotene Belegungen (z.b. CPU-Pseudo-Codes oder wegen Gefhrensitutionen, et.), oder 34

35 ) innere Freiheitsgrde (entstehen z.b. im Shltungsentwurf ei der Dekomposition oder durh niht enutzte inäre Zustände, Pseudotetrden, ) Auswirkungen der Neenedingungen uf die Huptfunktionen/Sollverhlten U U* U U 2 U = vorläufige Funktion = Neenedingung U* = endgültige Funktion U, U 2 = möglihe Funktionen, die sih us U* ergeen Bei Zustnd = = ist Funktionswert egl, weil er niht interessiert inärer Freiheitsgrd = don t re ondition (d). Bei den drei nderen Zuständen sind Funktionswerte definiert/geunden. Erkenntnisse:, und frei wählr, d im Beispiel die Belegung, =, niemls uftreten knn, d.h. der zugehörige Funktionswert von U x = U, ist frei wählr U x U x und U 2 x U x mit U x = U x, φ x und wird uh teilweise estimmte Funktionen (inompletely speified funtion) Bei n -Feldern ergeen sih 2 n untershiedlihe Funktionen, die lle die gleihen geundenen Werte esitzen und untereinnder äquivlent ezüglih der Neenedingungen sind! Beispiel: D(f) gegeen d D f = D φ NB = D φ 2 = f φ x = φ x φ 2 x Möglihst zuerst die Felder in den KP eintrgen. d f* 2 35

36 n = = 28 Funktionen in einem Funktionenvernd mit einer kleinsten (lle = ) und einer größten (lle = ) Funktion. optimle Funktion ezüglih (möglihst große Einsfelder nlegen) d D f opt = d d f opt kleinste Funktion = Implinum (lle = ) d f min = d größte Funktion = Supremum (lle = ) d f mx = f opt d Allgemein gilt: f min f f f mx 36

37 3. Automten und sequentielle Shltsysteme 3. Modell Automt = Selstständig reitende Einrihtung 3.. Prktishe Erfhrung Es git Shltsysteme, ei denen die Ausgngswerte niht eindeutig llein durh die Eingngswerte estimmt werden. x(t) z y(t) Beispiel: Frequenzteiler (Zähler) t x y Verhlten niht komintorish erzeugr. System enötigt intern Bitspeiher für die Vorgeshihte. Neue Systemklsse: sequentielle Shltwerke/ Folgeshltungen/ Speiher ehftete Shltungen Allgemeine Struktur e s e E = Eingngslphet (Menge vereinrter Zustände/ Werte) s S = Speiherlphet A = Ausgngslphet 3..3 Allgemeines Verhltensmodell sttionäre / rheolinere Systeme gleihleiendes Verhlten (knn sih ändern, er immer nur in eknnten gleihen Verhlten, sttionär sttish) Automtengleihungen: t = λ e t, s t s = s t + Δt = s t + T = δ e t, s t s = Folgezustnd von s(t) λ: e, s Ergenisfunktion (Aildung von e und s) δ: e, s s Üerführungsfunktion 37

38 zw. δ: e, n s n+ s A-Modell = Verhltensmodell = strkter Automt A: A = E, S, A, δ, λ ist ein n-tupel Begriffe: endliher Automt: E, A und S sind endlihe Mengen initiler Automt: esitzt einen festen Strtzustnd s = s t = deterministisher Automt: δ und λ sind eindeutig Vernshulihung des Automtenverhltens ls Grphenknte s e/ s e, s = Urshe s, = Wirkung (s, δ und λ) nihtdeterministisher Ausdruk (ND-Verhlten), δ ist mehrdeutig e/ s s e/ s 2 e/ s n 3..4 Zeitlihes Verhlten eines Automten s() e()/() s() e()/() s() s(n) Endlihe Automten: Zustndszyklen (werden m Ende wiederholt) Tehnisher Fll: Endlihkeit von S Beispiel: ) Muss niht vom gleihen strten und niht vom Strt wiederholen Anfngszustnd 38

39 ) Mehrere Zyklen 3..5 Automtentypen: Moore-Automten und Mely-Automten Moore: e δ s s λ = λ s Ausgng niht direkt vom Eingng hängig s = δ e, s Mely: e δ s s λ = λ e, s Ausgng direkt vom Eingng hängig s = δ e, s 3..6 Grenzfälle Autonomer Automt esitzt kein ehtes Eingngssignl. = λ s s = δ s Reine Komintorik esitzt keine Speiher: = λ e 3..7 Beshreien des Verhltens/ weitere Automtenmodelle Automtentellen von δ e, s und λ e, s Beispiel: δ e, s zeigt Zustndsüergänge hängig vom Eingng und ktuellen Zustnds 39

40 s e α β γ λ e, s zeigt die Ausgngselegung hängig vom Zustndswehsel s e α β γ α, β und γ sind vershiedene Verhlten A =, S =,2,3,4,5 E = α, β, γ Automtengrph und Phsenliste (PHL) Grphenknte = zulässige Phse s e/ s Urshe Wirkung e, s Urshe, s, Wirkung zu Beispiel: Grph für die Verhlten α und β β / α/ 2 β/ β / α/ α/ α/ 3 4 T 4 Zyklus (Länge 4) für α β / 5 α/ β / Zyklus ezeihnet einen geshlossenen Umluf und die Anzhl der Sttionen die Länge. 4

41 PHL: e s s e s s e s s α 2 β 4 γ 3 α 2 4 β 2 2 γ 2 4 α 3 β 3 γ 3 α 4 3 β 4 4 γ 4 2 α 5 5 β 5 3 γ 5 4 Erklärung: Mit Verhlten α geht Automt von Zustnd zu 2 üer und git dei eine us. Mit Verhlten β geht Automt von Zustnd 2 zu 2 üer und git dei eine us. Anlyse qulittiv und quntittiv ) Zyklen z.b. (e = = konstnt) (T -Zyklus in s = 5 ei = ) (T 4 -Zyklus eginnend in s = mit = ) ) Finlverhlten z.b. s =, β = (Trjektorie = Rumkurve endet in s = 4 = stiler Zustnd, d.h. Shlinge ei konstnter Ansteuerung) ) Anfngszustnd = Zustnd wird ei estimmter Ansteuerung verlssen und ist nie wieder erreihr d) Endzustnd = wird ei estimmter Ansteuerung erreiht und knn nie wieder verlssen werden 3.2 Binäre Automten 3.2. Aus tehnishen Gründen (z.b. Störsiherheit, einfhe Relisierrkeit, ) werden lle uftretenden Größen inär odiert Beispiel: BCD-Code: direkte Zuordnung der Zhlendrstellung x = 5 = x = n x i 2 i i= mit x i, und n inäre Stellen Andere Codes: Gryode, et. Zuordnungen e x = x,, x k B k ; x i B =, s z = z,, z l B l y = y,, y n B n Verhlten: Automtengleihungen = λ e, s y = k x, z 4

42 s = δ e, s z = f x, z Relisierung ls Funktionenündel Beispiel: z = x z z z 2 = f x, z z 2 = x z z 2 = f 2 x, z y = z = x, z Automtentelle: KP z z 2 z z 2 y z z 2 x z und z 2 sind Änderungsgrößen und ergeen sih durh Antivlenzildung zwishen dem vorigen und dem folgenden Zustnd: z i = z i z i Knte im Automtengrph: z y x/y z x Automtengrph: z z z 2 z z 2 z z 2 Moore Automt z 2 z z 2 z x= x= y= z z2 x= x= z, z 2 z, z 2 Änderungsgrößen Definition: z i = z i t t = lim Δt z i t z i t + Δt 42

43 für getktete Systeme ist Δt = T (Tktzeit) Booleshe Differenz z i = z i z i z i t = z i f i x, z = g i x, z z = g x, z zu Beispiel: z = z z = z xz z z 2 d orthogonl = xz z z 2 = xz z z 2 = g z 2 = z 2 xz xz 2 = xz xz 2 = xz xz 2 = g 2 Auflösung nh zi: z i = z i z i z i z i = z i z i z i = z i 43

44 4. Entwurf sequentieller Shltsysteme 4. Shltsysteme mit konzentrierten Speiherelementen Einitspeiher = Flip-Flop (FF) (Klipp-Klpp, Purzelum, ) = istiles Speiherelement Q = Zustndsvrile des FF x = Vektor der FF-Eingänge (einshließlih eventuelle Tktsignle) Allgemeine Gleihung des FF: Q = F Q, x Q = Q F Q =, x Q F Q =, x = QF QF Q, Automtengrph des FF: F Q F F Q = : Q = : Q = F Q = F F Üergngstypen: F F Q Q Nullüergng Einsüergng Speiherüergng Kippüergng Q = Q = Q = Q Q = Q 4.. Struktur von FF Shltwerken x komintorishes Funktionenündel für z = f(x, z) z z k FF FF k z z k z λ komintorishes Bündel y C = Tkt n jeden FF 44

45 eventuelle Zeitproleme FF-Inhlt und eenso die zu ildenden eventuell gleihzeitig geändert. Lösung: ) FF mit Tktflnke C zi-werte werden zum Tktzeitpunkt enötigt, er C = Clok, Tkt mit C = C C = C t C t + Δt, Δt C CC C CC 2 ) Mster-Slve-Tehnik (serielles Doppel FF) x Mster FF Slve FF Q C CC C CC 2 Informtionsüernhme mit untershiedlihen Tktflnken 4..2 FF-Shem/ Symolik E E 2 Q E i E ik CLK Q Beispiel: JK-FF mit sttishen (ungetkteten, synhronen) Setz- und Rüksetzeingng (vorrngig) S T Q J C C CLK K R T = Trigger (Auslöser) J, C, K = Hupteingänge 45

46 Shltsymol: S J CLK K Q R 4..3 Verhltenseshreiung untershiedliher FF-Typen RS-FF: NOR-Typen Stz: Durh interne (ungetktete freie ) Rükführungen sind stile Zustände in einem komintorishen System erzeugr. Beispiel: R Q S Q ) R =, S = Q = Q wird gesetzt (set) ) R =, S = Q = Q wird zurük gesetzt (reset) An einem Eingng der NOR-Gliedern liegt der lte Wert von Q n, evor dieser vom neuen üershrieen wird. Gewöhnlih ist Q zu Beginn uneknnt, mn rehnet dnn llgemein. Berehnung von Q: Mn etrhtet ds NOR-Glied, n dem eine nliegt, denn ODER irgendws = immer. Negiert wird drus. Nun ht mn die Belegung für die eiden Eingänge des nderen NOR-Gliedes. ) R =, S = Q = Q FF-Zustnd leit erhlten d) R =, S = Q =,!er uh Q = geht niht, kein FF-Verhlten (Folgezustnd ungewiss), verotene Eingngselegung Berehnung von Q ei ): Mn enutzt (proiert) mit eventuellen vorngegngenen Zustnd von Q ( oder ), drus folgt Q ist von Q hängig. So wie Q wr, wird Q werden. Q = Q Wegen d) wird Neenedingung enötigt: φ NOR FF = R S = Neenedingung ist für Belegung d) niht erfüllt verotene Belegung. Verhlten: Q = S RQ mit NB φ = R S = 46

47 verkürzte Automtentelle und grph: R S Q Q S S R R JK-FF K (=R) Q C J (=S) Q Getktet mit C. Auststen ( Toren ) des K-Signls ei Q =. Auststen von J ei Q = Q =. Keine Neenedingung. Verhlten: Q = QJ QK = QJ QK Q J K Q Q J J K K wenn getktet lutet Verhlten: Q = Q J C Q K C JR-FF Rüksetzvorgng: Q = R J Q 47

48 Q J R Q JR RJ R R SK-FF Setzvorgng: Q S K Q Q = S K Q S S SK SK D-FF Dely Flip Flop, Verhlten: Q = D Q D D D D D C Q D shwer rel zu relisieren T-FF Trigger Flip Flop, wenn Tkt kommt und T = ist, ändert sih Q, wie ei JK-FF wenn J = K = Verhlten: Q = Q T = QT QT 48

49 Q = T Q T Q Q T T T T C Q T DV-FF FF mit Verhinderungseingng (prevent) Verhlten: Q = VQ VD Q D V Q Q RST-FF Verhlten: Q = S T Q R F Q Neenedingung: RS RT ST = ungünstig wegen lnger Neenedingung Q R S T Q Q ST ST RT RT 49

50 L-FF Anfng / Lth-FF, lässt sih niht llein durh L uf zurüksetzen, deshl sttishes Rüksetzsignl R. Setz FF ei R = uf zurük. Vorteil: einfhe Shltung. Verhlten: Q = Q L Q L Q L L R Q L 4..4 Synthese von Shltungen mit FF Geänderte Aufgenstellung, Zustndsänderung Q sind die Ansteuerwerte der FF-Eingänge. Q wertmäßig vorgegeen. Gesuht Beispiel: JK-FF J J K K Telle der FF-Ansteuerwerte: vorgegeene Wirkung Urshe Q Q Q J K mit = elieig und Q = Q Q Ergänzung zu FF-Typen: tktflnkengesteuertes JK-FF Q = Q Q = Q t Q t + T Q C = C C Q Q 5

51 C(t) t JK-FF: Q C,JK = C C Q QJ QK = C C Q K QJ = C C QJ QK Beispiel: ungetktetes dynmish gesteuertes T-FF C t Q(t) dyn C C Q T dyn = C C t Algorithmus: Synthese der Ansteuerkomintorik für FF-Shltungen i) Die Funktionen z i = f i x, z i zw. z i = g i x, z estimmen und in Tellen (vorzugsweise KP) eintrgen. ii) iii) Für jeden FF-Eingng ller n Zustndskomponenten z i, n i= KP uflegen und die, us der Telle der FF-Ansteuerwerte für den gewählten FF-Typ zulesenden, für jeden Üergng eintrgen. Struktursynthese der komintorishen Shltung für jeden FF-Eingng entsprehend der vorgegeenen zw. gewählten Relisierungssis. Entwurfseispiel : gegeen: z = z z gesuht: vershiedene FF-Relisierungen Lösung: z z ) D-FF: Q = D z = D z z Zufällig die gleihe Telle ei D-FF. 5

52 Relisierungssis: NOR-NOR K(f) z z Disjunktion von D D D = negieren K D = D = z z Zwishenfunktion z ilden ei Tktflnke D D C Q z z ) RS-FF z R S z z z Üergng von z zu z etrhten, um Tellen für R und S uszufüllen: z = ändert sih zu z = in Telle für R ein und für S eine z = ändert sih zu z = in Telle für R eine und für S eine z = ändert sih zu z = in Telle für R eine und für S ein z = ändert sih zu z = in Telle für R eine und für S eine Relisierungssis: AND-OR R = S = ) DV-FF R C S z D V z z z Möglihkeit Q z 2. Möglihkeit In den Tellen von D und V git es für viele Felder 2 vershiedene Möglihkeiten. Entsheidet mn sih im Feld einer Telle für eine Möglihkeit, muss mn diesele für ds entsprehende Feld in der nderen Telle nehmen. Entsheidungen sind jeweils für ein Feld 52

53 indend. Für vershiedene Felder können vershiedene Möglihkeiten gewählt werden. Z.B. wenn mn im linken oeren Feld von Telle D die wählt, muss mn im linken oeren Feld von Telle V ds nehmen. D V z - z - - Relisierungssis: NAND D D = D V = D C V Q z d) T-FF T = Q T = z = z z z T = z z z Relisierungssis: NAND D T = z z T C Q z z Entwurfseispiel 2: Zu entwerfen ist ein Mod3-Zähler mit RS-FF und NAND-Gttern, der für x = vorwärts und für x = rükwärts zählt und die Zhlen im direkten Binärode usgit. Lösung: Vrinte y = z (kein extr Zuordner nötig) 53

54 S z z x x 2 x x x x uneknnter Zustnd - z z z z z z R S R S x Zwei Zustndsvrilen zwei Flip Flops. R und S ergeen sih durh Vergleih von z mit z, R und S ergeen sih durh Vergleih von z mit z. D R = z D S = xz z x z z D R = z D S = xz z x z z x z z x R S R C S Q z =y x z z x R S R C S Q z =y z z Wenn mn z, z, R, R, S, S gegeen ht, knn mn mit Hilfe der FF-Telle uh nhshuen, wie z, z sein müssten. Dnh üertrgr in Automtengrph (in diesem Beispiel von (, ) nh (, ), egl wie x). 4.2 Anlyse des Verhltens von FF-Shltungen 4.2. Anlyse Algorithmus i) Aus einer gegeenen Shltung herus werden die Ansteuerfunktionen ller FF- Eingänge und die Funktionen ller Shltungsusgänge (Ergenisfunktion) ermittelt. ii) Die ermittelten Ansteuerfunktionen werden in die den FF-Typen entsprehenden FF- Gleihungen eingesetzt: z = f x, z eventuell z = g x, z, y = x, z iii) Vernshulihung des Verhltens üer: ) Automtentelle [KP] ) Automtengrph ) Phsenlisten 54

55 Knte z x, y z Phse (x, z, z, y) iv) Urshe Wirkung Qulittive und quntittive Anlyse [mit rehnerishen Mitteln] Beispiel: x D z =D 2 z = D Q D Q 2 =J 3 z J Q 3 =y C V 2 C V K 3 C K i) Ansteuer- und Ausgngsfunktionen ermitteln D = x z 3 D 2 = z V 2 = x J 3 = z 2 K 3 = z 2 y = z 3 ii) Einsetzen der Funktionen in FF-Gleihungen D-FF: Q = D z = D = x z 3 DV-FF: Q = VQ DV z 2 = V 2 z 2 D 2 V 2 = x z 2 z x JK-FF: Q = QJ QK z 3 = z 3 J 3 z 3 K 3 = z 3 z 2 z 3 z 2 = z 2 iii) Vernshulihung Automtentelle: z z 2 z 3 z z 2 z 3 y x Für z zum Eintrgen der Antivlenz die Pünkthenmethode verwendet. 55

56 Automtengrph: z z 2 z 3 x= Phsenliste D PHL = Urshe Wirkung x z z 2 z 3 z z 2 z 3 y iv) Qulittive und quntittive Anlyse Für x = git es 2 Teilverhlten: z 2 = Einlufen in stilen Zustnd () z 2 = Einlufen in stilen Zustnd () Für x = : T 6 -Zyklus von z = usgehend T 2 -Zyklus zwishen () und () 56

57 4.3 Speihertehnishe Relisierungen Grundprinzip: Speiherdresse = x, z = Urshe zw. Gesmtzustnd und Speiherinhlt = z, y = Wirkung x Memory Adresse ( z, y) y(t-) z Tkt n jedes Register FF-Register Beispiel: gegeen: Automtengrph gesuht: Shltung us RS-FF und NAND / / z z x/y / / / / z z z z R S R S y x R = x z z xz z S = x z z xz z R = x z S = xz y = xz z z x x R z z z R Q x x z C x S z z S x z x z z z z R z S R C S Q y z 57

58 5. Zusmmenfssung Konsulttion D f = uslesen D f = uslesen K f = D f D f negieren, lso in und in wndeln, in. Oder Nullen uslesen, er sttt für = ein zu shreien, ein normles. Auh eim Eintrgen ufpssen. (nur so neenei: K f = D f ) Beispiel: D f = D f K f = D f = A f Antivlenzform Aer nur ei Auslesen us KV, niht ei Eintrgen (für A die Pünkthenmethode verwenden, ei ungerder Anzhl Punkte eine eintrgen). A f = E f = Äquivlenzform = ~ ~ Wie ei K(f) edeutet ein =. Beim Eintrgen Pünkthenmethode verwenden (ei gerder Anzhl von Punkten eine eintrgen). Sieht us wie K(f) nur een mit ~ sttt zwishen den einzelnen Elementrdisjunktionen (Gliedern). Aufpssen ei NOR und NAND, meist doppelte Negtion nötig, denn mn ruht: ei NAND und für NOR. Untershiedlihe Drstellung nur zur esseren Anshulihkeit, Eingngswerte ändern sih ddurh niht. Aufstellen einer Shltung mit vorgegeenen Gliedern. Neenedingungen: Wenn NB eine enthält, git es n der Stelle einen Freiheitsgrd im KV: =,. Automten: Mehr oder weniger feste Befehlsfolge, niht nur von Eingngsvrilen hängig, sondern uh vom (internen) Speiher. 58

59 6. Anhng 6. Booleshe Ausdrüke/ Booleshe Formen (BF) Booleshe Ausdrüke (BF) sind: ) Konstnte und, Vrile x, x 2,, x k (elieige Bezeihnungen für die Vrilen). ) Mit der Booleshen Form f x ist uh f x (niht f, f negiert) eine BF. ) Mit den BF-en f und g sind uh f g, f g, f g und f~g BF-en. d) Belieige Booleshe Formen müssen in endlih vielen Shritten mit Hilfe der Regeln ), ) und ) konstruierr sein! Stz: Jeder inären Funktion f x, x B k, lässt sih (mindestens) ein Boolesher Ausdruk zuordnen. Jedem Booleshen Ausdruk (BF) f x üer B k ist eindeutig eine inäre (Booleshe) Funktion zugeordnet, d.h. ei Belegung der Vrilen von x mit konstnten Werten B k ergeen sih eindeutig die Funktionswerte f. 6.2 Reine Formen Boolesher Funktionen Reine Formen von f x estehen nur us Konstnten und Konjunktionen [oder Disjunktionen], die lle mit einer einheitlihen Opertion verknüpft sind. Sie erluen ds Trnsformieren in ndere Booleshe Modelle, z.b. ds Eintrgen in den Krnugh Pln (KP) oder in eine Ternärvektorliste (TVL), sind er in den Fällen A(f) und E(f) niht rein im Sinne der lgerishen Struktur eines Booleshen Ringes BR k = B k,, mit der dditiven Opertion Antivlenz zw. BR ~ k = B k, ~, mit der dditiven Opertion Äquivlenz ~, d in A(f) zw. E(f) uh negierte Vrile uftreten können [niht er in einem BR(k)]! i) Disjunktive Form D f = m j = K j x mit den Konjunktionen K j x = ii) l i= v i Konjunktive Form K f = m j =, B, x B k, l k, v i x i, x i, v i v j D j x mit den Disjunktionen D j x = l i= v i, B, x B k, l k, v i x i, x i, v i v j 59

60 iii) Antivlenzform A f = m j = K j x mit den Konjunktionen K j x = iv) l i= v i Äquivlenzform E f = ~ E m j mit den Disjunktionen D j x = l i= v i, B, x B k, l k, v i x i, x i, v i v j D j x, B, x B k, l k, v i x i, x i, v i v j Sind lle Konjunktionen von D(f) zw. A(f) Elementrkonjunktionen (Minterme), in denen jeweils lle Vrilen des Booleshen Rumes B k negiert oder nihtnegiert genu einml uftreten, so heißt DNF(f) die disjunktive (knonishe) Normlform von f(x) und es gilt DNF f = ANF(f). Anlog dzu enthält die konjunktive (knonishe) Normlform von f(x) nur Elementrdisjunktionen (Mxterme) und es gilt KNF f = ENF(f). 6.3 Rehenregeln der Booleshen Alger 6.3. Boolesher Vernd BV(k) Rehenregel mit Huptopertion Konjunktion, Dule Beziehung mit der Opertion Disjunktion, + = = (Neutrle Elemente) x = x = (Dominnz) x = x x = x (Neutrlität) x = x (Doppelte Negtion) x x = x x = (Komplementtheorem) x x = x x x = x (Idempotenz) x xy = x x x y = x (Asorption) x xy = x y x x y = xy (Nihtorthogonle Minimierung) x y z = x y z x y z = x y z (Assozitivität) x y = y x x y = y x (Kommuttivität) x y z = xy xz x y z = x y x z (Distriutivität) x y = x y x y = x y (DEMORGANshe Gesetze) n i= x i n = x i i= n i= x i n = x i i= 6 (DEMORGANshe Gesetze) xy xy = x x y x y = x (Vereinfhungstheorem) x y x z = xz xy xy xz = x z x y (Fktorisierungstheorem) xy xz = xy xz yz x y x z = x y x z y z (Consensustheorem)

61 6.3.2 Booleshe Ringe BR(k) BR k Antivlenz, EXOR, BR k Äquivlenz, EXAND ~, x x = x x = x~x = x x = x = x x~ = x x x = x~x = x = x x~ = x x xy = x y = xy x~ x y = x ~y = x y x y z = x y z x~ y~z = x~y ~z (Assozitivität) x y = y x x~y = y~x (Kommuttivität) x y z = xy xz x y~z = x y ~ x z (Distriutivität) x y = x~y = x~y x~y = x y = x y x y z = x~y~z = x~y~z x~y~z = x y z = x y z n i= n i= x i x i = E n i = E n i x i = E n i x i = E n i x i x i E n x i = i E n x i = i n i= n i= x i x i n = x i i= n = x i i= n gerde n ungerde Trnsformtionsgesetze BR k BV k : BR ~ k BV k : x y = xy xy = x y x y x~y = xy x y = x y x y x = x ~x = x BV k BR k : BV k BR ~ k : x y = x y xy x y = x~y~ x y x = x x = ~x 6

62 = = y = y = f, ~ Bezeihnung der Funktion zw. der Opertion Nullfunktion UND-Verknüpfung, AND erste Inhiition erste Identität zweite Inhiition zweite Identität ANTIVALENZ, EX[lusiv]OR ODER-Verknüpfung NICHT-ODER-Verknüpfung, NOR ÄQUIVALENZ, EXAND erste NEGATION, NOT erste IMPLIKATION zweite NEGATION, NOT zweite IMPLIKATION NICHT-UND-Verknüpfung, NAND Einsfunktion und Sprehweise niht und und niht ntivlent oder niht ( oder ), nor äquivlent niht, negiert impliziert niht, negiert impliziert niht ( und ), nnd Alterntive Shreiweise x = = = = = + = = + + = + + = + = x 6.4 Alle Shltfunktionen von zwei Vrilen und ihre Interprettion ls inäre Opertion 62

63 6.5 Relisierungssis und zugehörige Form einer Funktion-TVL für den zweistufigen Komintorikentwurf (nh D. Bohmnn).Stufe 2. Stufe AND OR NAND NOR AND X D(f) X D f OR K(f) X D f X NAND D f X D(f) X NOR X D f X K(f) X = keine Relisierungssis Vereinrung: NDM = Negtion nh De Morgn Interprettion in der Telle: D f edeutet NDM von f(x), lso mittels TVL,, K f = D f, d.h. Nullen us dem KP von f(x) uslesen für D f und dnn NDM. 6.6 Flip-Flop (FF) Gleihungen Q = interne FF-Zustndsvrile Q = Q t + zw. Q = Q t + Δt = interne Folgezustndsvrile R, S, T, J, K, D, V, et. = FF-Eingänge (Ansteuervrilen) Ds Gesmtverhlten eines FF wird durh die ngegeene Gleihung und durh eine eventuell gültige Neenedingung (NB) estimmt und ist insgesmt (lso mit NB) umgesetzt worden in die Telle der FF-Ansteuerwerte! RS-FF: Q = S RQ mit der NB φ = RS = JK-FF: Q = QJ QK = QJ QK D-FF: Q = D T-FF: Q = Q T = QT QT zw. Q = T, d gilt Q = Q Q DV-FF: Q = VQ DV JR-FF: Q = R J Q SK-FF: Q = S KQ RST-FF: Q = S TQ RTQ mit der Neenedingung φ = RS RT ST = 63

64 6.7 Telle der FF-Ansteuerwerte gegeen: Üergng Q Q zw. Änderungsrihtung Q = Q Q gesuht: Ansteuerwerte für FF-Eingänge Q Q Q R S J K J R S K D V D T R S T L X = niht relisierr X

Funktionen und Mächtigkeiten

Funktionen und Mächtigkeiten Vorlesung Funktionen und Mähtigkeiten. Etws Mengenlehre In der Folge reiten wir intuitiv mit Mengen. Eine Menge ist eine Zusmmenfssung von Elementen. Zum Beispiel ist A = {,,,,5} eine endlihe Menge mit

Mehr

Boole'sche Algebra. Inhaltsübersicht. Binäre Funktionen, Boole'sche Algebren, Schaltalgebra. Verknüpfungen der mathematischen Logik

Boole'sche Algebra. Inhaltsübersicht. Binäre Funktionen, Boole'sche Algebren, Schaltalgebra. Verknüpfungen der mathematischen Logik Boole'sche Algebr Binäre Funktionen, Boole'sche Algebren, Schltlgebr Inhltsübersicht Verknüpfungen der mthemtischen Logik Boole sche Algebren Grundelemente der Schltlgebr Regeln der Schltlgebr Normlformen

Mehr

Grundlagen der Technischen Informatik. Bausteine der Digitaltechnik - Binäre Schalter und Gatter. Kapitel 7.1

Grundlagen der Technischen Informatik. Bausteine der Digitaltechnik - Binäre Schalter und Gatter. Kapitel 7.1 Busteine er Digitltehnik - Binäre Shlter un Gtter Kpitel 7. Dr.-Ing. Stefn Wilermnn ehrstuhl für rwre-softwre-co-design Entwurfsrum - Astrktionseenen SYSTEM-Eene + MODU-/RT-Eene (Register-Trnsfer) ogik-/gatter-eene

Mehr

Technische Informatik 2

Technische Informatik 2 TiEl-F Sommersemester 24 Technische Informtik 2 (Vorlesungsnummer 2625) 23--- TiEl-F Prof. Dr.-Ing. Jürgen Doneit Zimmer E29 Tel.:73 54 455 doneit@fh-heilronn.de 23--- TiEl-F35 Digitltechnik 23--3- . Digitlschltungen,

Mehr

Hausaufgabe 2 (Induktionsbeweis):

Hausaufgabe 2 (Induktionsbeweis): Prof. Dr. J. Giesl Formle Sprhen, Automten, Prozesse SS 2010 Üung 3 (Age is 12.05.2010) M. Brokshmidt, F. Emmes, C. Fuhs, C. Otto, T. Ströder Hinweise: Die Husufgen sollen in Gruppen von je 2 Studierenden

Mehr

Gerd Wöstenkühler. Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen

Gerd Wöstenkühler. Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen Gerd Wöstenkühler Grundlgen der Digitltehnik Elementre Komponenten, Funktionen und Steuerungen Inhlt 1 Einleitung... 11 1.1 Anloge unddigitledrstellungsformen... 11 1.1.1 AnlogeGrößendrstellung... 11 1.1.2

Mehr

Lehrgang: Digitaltechnik 1 ( Grundlagen ) - Im Lehrgang verwendete Gatter ( Übersicht ) Seite 3

Lehrgang: Digitaltechnik 1 ( Grundlagen ) - Im Lehrgang verwendete Gatter ( Übersicht ) Seite 3 Lehrgng: Digitltechnik ( Grundlgen ) Dtum: Nme: Seite: Inhltsverzeichnis: Im Lehrgng verwendete Gtter ( Üersicht ) Seite 3 Aufu von Zhlensystemen deziml, dul ( Infoseite ) Seite 4 ( Areitsltt ) Seite 5

Mehr

Die Regelungen zu den Einsendeaufgaben (Einsendeschluss, Klausurzulassung) finden Sie in den Studien- und Prüfungsinformationen Heft Nr. 1.

Die Regelungen zu den Einsendeaufgaben (Einsendeschluss, Klausurzulassung) finden Sie in den Studien- und Prüfungsinformationen Heft Nr. 1. Modul : Grundlgen der Wirtschftsmthemtik und Sttistik Kurs 46, Einheit, Einsendeufge Die Regelungen zu den Einsendeufgen (Einsendeschluss, Klusurzulssung) finden Sie in den Studien- und Prüfungsinformtionen

Mehr

Automaten und formale Sprachen Notizen zu den Folien

Automaten und formale Sprachen Notizen zu den Folien 5 Ds Pumping Lemm Shufhprinzip (Folie 137) Automten und formle Sprhen Notizen zu den Folien Im Blok Ds Shufhprinzip für endlihe Automten steht m n (sttt m > n), weil die Länge eines Pfdes die Anzhl von

Mehr

Domäne und Bereich. Relationen zwischen Mengen/auf einer Menge. Anmerkungen zur Terminologie. r Relationen auf/in einer Menge.

Domäne und Bereich. Relationen zwischen Mengen/auf einer Menge. Anmerkungen zur Terminologie. r Relationen auf/in einer Menge. Reltionen zwischen Mengen/uf einer Menge! Eine Reltion R A B (mit A B) ist eine Reltion zwischen der Menge A und der Menge B, oder uch: von A nch B. Drstellung: c A! Wenn A = B, d.h. R A A, heißt R eine

Mehr

1KOhm + - y = x LED leuchtet wenn Schalter x gedrückt ist

1KOhm + - y = x LED leuchtet wenn Schalter x gedrückt ist . Ohm = LED leuchtet wenn chlter gedrückt ist 2. Ohm = NICH ( = NO ) LED leuchtet wenn chlter nicht gedrückt ist = ist die Negtion von? Gibt es so einen kleinen chlter (Mikrotster)? 2. Ohm = UND LED leuchtet

Mehr

2.2 Schaltfunktionen und ihre Darstellung

2.2 Schaltfunktionen und ihre Darstellung 2.2 Shltfunktionen und ihre Drstellung 2.2. Booleshe Alger Booleshe Alger und physiklishe Relität Symole der Shltlger Die Booleshen Postulte Die Booleshen Theoreme De Morgn shes Theorem Entwurf einfher

Mehr

Modul 3: Schaltnetze. Informatik I. Modul 3: Schaltnetze. Schaltnetze. Formale Grundlagen. Huntingtonsche Axiome.

Modul 3: Schaltnetze. Informatik I. Modul 3: Schaltnetze. Schaltnetze. Formale Grundlagen. Huntingtonsche Axiome. Herstsemester 2, Institut für Informtik IFI, UZH, Schweiz Modul 3: Schltnetze Informtik I Modul 3: Schltnetze Einführung in die formlen Grundlgen logischer Beschreiungen Boolesche Alger, Schltlger Vorussetzende

Mehr

Übungen zur Vorlesung Modellierung WS 2003/2004 Blatt 11 Musterlösungen

Übungen zur Vorlesung Modellierung WS 2003/2004 Blatt 11 Musterlösungen Dr. Theo Lettmnn Pderorn, den 9. Jnur 24 Age 9. Jnur 24 A x, A 2 x, Üungen zur Vorlesung Modellierung WS 23/24 Bltt Musterlösungen AUFGABE 7 : Es sei der folgende prtielle deterministishe endlihe Automt

Mehr

Lösung zur Bonusklausur über den Stoff der Vorlesung Grundlagen der Informatik II (45 Minuten)

Lösung zur Bonusklausur über den Stoff der Vorlesung Grundlagen der Informatik II (45 Minuten) Institut für Angewndte Informtik und Formle Beschreiungsverfhren 15.01.2018 Lösung zur Bonusklusur üer den Stoff der Vorlesung Grundlgen der Informtik II (45 Minuten) Nme: Vornme: Mtr.-Nr.: Semester: (WS

Mehr

i)((a + b) + (ā b)) + c ii ) (a c) + ((b + 0) c) iii) (a c) (ā + c) (b + c + b) iv ) (ā + (b c)) + (c (b + c))

i)((a + b) + (ā b)) + c ii ) (a c) + ((b + 0) c) iii) (a c) (ā + c) (b + c + b) iv ) (ā + (b c)) + (c (b + c)) Boolsche Alger In dieser Aufge soll noch einml der Umgng mit der Boolschen Alger geuet werden. Zur Erinnerung deshl hier zunechst noch einml die grundlegenden Regeln (Nummerierung entsprechenend den GTI-Folien):

Mehr

Aufgabe 1: Diskutieren Sie die Unterschiede bzw. die Vorteile und Nachteile der Mealy- und Moore- Zustandsmaschinen.

Aufgabe 1: Diskutieren Sie die Unterschiede bzw. die Vorteile und Nachteile der Mealy- und Moore- Zustandsmaschinen. Üungen zur Vorlesung Technische Informtik I, SS 2 Huck / Guenkov-Luy / Prger / Chen Üungsltt 3 Asynchrone Schltungen / Technologische Grundlgen / Progrmmierre Logische Busteine Aufge : Diskutieren Sie

Mehr

Der Begriff der Stammfunktion

Der Begriff der Stammfunktion Lernunterlgen Integrlrehnung Der Begriff der Stmmfunktion Wir gehen von folgender Frgestellung us: welhe Funktion F x liefert ls Aleitung eine gegeene Funktion f x. Wir suhen lso eine Umkehrung der Aleitung

Mehr

VORKURS: MATHEMATIK RECHENFERTIGKEITEN, LÖSUNGEN. Dienstag

VORKURS: MATHEMATIK RECHENFERTIGKEITEN, LÖSUNGEN. Dienstag Lösungen Dienstg -- VORKURS: MATHEMATIK RECHENFERTIGKEITEN, LÖSUNGEN Dienstg Blok.. - 4 3y 6 3-6y 3-3 y -. - 3y 4 - y 9 - y -93. y 0,,y Sämtlihe Lösungsmethoden liefern hier whre Aussgen. Z. Bsp. «0 0».

Mehr

Z R Z R Z R Z = 50. mit. aus a) Z L R. Wie groß ist der Leistungsfaktor cos der gesamten Schaltung?

Z R Z R Z R Z = 50. mit. aus a) Z L R. Wie groß ist der Leistungsfaktor cos der gesamten Schaltung? Aufge F 99: Drehstromverruher Ein symmetrisher Verruher ist n ds Drehstromnetz ( 0 V, f 50 Hz) ngeshlossen. Die us dem Netz entnommene Wirkleistung eträgt,5 kw ei einem eistungsfktor os 0,7. ) Berehnen

Mehr

UNIVERSITÄT KARLSRUHE Institut für Analysis HDoz. Dr. P. C. Kunstmann Dipl.-Math. M. Uhl. Sommersemester 2009

UNIVERSITÄT KARLSRUHE Institut für Analysis HDoz. Dr. P. C. Kunstmann Dipl.-Math. M. Uhl. Sommersemester 2009 UNIVERSIÄ KARLSRUHE Institut für Anlysis HDoz. Dr. P. C. Kunstmnn Dipl.-Mth. M. Uhl Sommersemester 9 Höhere Mthemti II für die Fchrichtungen Eletroingenieurwesen, Physi und Geodäsie inlusive Komplexe Anlysis

Mehr

Hilfsrelais HR 116. Bilfinger Mauell GmbH

Hilfsrelais HR 116. Bilfinger Mauell GmbH Bilfinger Muell GmH Hilfsrelis HR 11 Die Hilfsrelis ienen zur glvnishen Trennung, Kontktvervielfhung un Trennung zwishen Hilfs- un Steuerstromkreisen. Bilfinger Muell GmH Inhltsverzeihnis Inhlt Seite Anwenung

Mehr

DV1_Kapitel_5.doc Seite 5-1 von 36 Rüdiger Siol 12.09.2009 16:31

DV1_Kapitel_5.doc Seite 5-1 von 36 Rüdiger Siol 12.09.2009 16:31 Rvensurg-Weingrten Vorlesung zur Dtenverreitung Tehnishe Informtik Inhltsverzeihnis 5 TECHNISCHE INFORMATIK...5-2 5. ENTWURF DIGITALER SYSTEME...5-2 5.2 KOMBINATIONSSCHALTUNGEN (SCHALTNETZE)...5-3 5.2.

Mehr

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester 2011

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester 2011 Automten und Formle Sprchen lis Theoretische Informtik Sommersemester 011 Dr. Snder Bruggink Üungsleitung: Jn Stückrth Snder Bruggink Automten und Formle Sprchen 1 Reguläre Sprchen Wir eschäftigen uns

Mehr

Wurzel b bedeutet: Suche die Zahl, die mit sich selbst multipliziert gerade die Zahl ergibt, die unter der Wurzel steht.

Wurzel b bedeutet: Suche die Zahl, die mit sich selbst multipliziert gerade die Zahl ergibt, die unter der Wurzel steht. /0 Areitsltt Wurzel edeutet: Suhe die Zhl, die mit sih selst multipliziert gerde die Zhl ergit, die unter der Wurzel steht. Also: - suhe eine Zhl, die mit sih selst multipliziert, genu ergit. Die Lösung

Mehr

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester 2012. Sprachen. Grammatiken (Einführung)

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester 2012. Sprachen. Grammatiken (Einführung) Wörter, Grmmtiken und die Chomsky-Hierrchie Sprchen und Grmmtiken Wörter Automten und Formle Sprchen lis Theoretische Informtik Sommersemester 2012 Dr. Snder Bruggink Üungsleitung: Jn Stückrth Alphet Ein

Mehr

Schaltnetze. Inhaltsübersicht. Aufbau von Schaltnetzen anhand wichtiger Beispiele. Codierer, Decodierer und Codekonverter. Additionsschaltnetze

Schaltnetze. Inhaltsübersicht. Aufbau von Schaltnetzen anhand wichtiger Beispiele. Codierer, Decodierer und Codekonverter. Additionsschaltnetze Schltnetze Aufu von Schltnetzen nhnd wichtiger Beipiele Inhltericht Codierer, Decodierer und Codekonverter Additionchltnetze Hlddierer Vollddierer Mehrtellige Addierer Multiplexer und Demultiplexer Techniche

Mehr

FB Technologie und Management. Das de Morgansche Theorem. Kombinationsschaltungen (Schaltnetze) Rangfolge der 3 Grundoperationen

FB Technologie und Management. Das de Morgansche Theorem. Kombinationsschaltungen (Schaltnetze) Rangfolge der 3 Grundoperationen FB Tehnologie un Mngement Komintionsshltungen (hltnetze) Eingngsvektor X Komintorishes ystem (hltnetz) y y Ausgngsvektor f(x) n y m Dtenverreitung (Kpitel 5 Tehnishe Informtik) Drstellung er ignle X hltnetz

Mehr

Erkundungen. Terme vergleichen. Rechteck Fläche als Produkt der Seitenlängen Fläche als Summe der Teilflächen A B

Erkundungen. Terme vergleichen. Rechteck Fläche als Produkt der Seitenlängen Fläche als Summe der Teilflächen A B Erkundungen Terme vergleihen Forshungsuftrg : Fläheninhlte von Rehteken uf vershiedene Arten erehnen Die Terme () is (6) eshreien jeweils den Fläheninhlt von einem der drei Rehteke. Ordnet die Terme den

Mehr

1 152.17. 1. Gegenstand und Zweck

1 152.17. 1. Gegenstand und Zweck 5.7. März 0 Verordnung üer die Klssifizierung, die Veröffentlihung und die Arhivierung von Dokumenten zu Regierungsrtsgeshäften (Klssifizierungsverordnung, KRGV) Der Regierungsrt des Kntons Bern, gestützt

Mehr

Übungsblatt Nr. 2. Lösungsvorschlag

Übungsblatt Nr. 2. Lösungsvorschlag Institut für Kryptogrphie und Siherheit Prof. Dr. Jörn Müller-Qude Dirk Ahenh Tois Nilges Vorlesung Theoretishe Grundlgen der Informtik Üungsltt Nr. 2 svorshlg Aufge 1: Doktor Met in Gefhr (K) (4 Punkte)

Mehr

Ober- und Untersummen, Riemann Integrale

Ober- und Untersummen, Riemann Integrale Oer- und Untersummen, Riemnn Integrle 1. Ds Prolem des Fläheninhlts Ausgngspunkt für die Entwiklung des Integrlegriffs wren vershiedene Frgestellungen, u.. ds Prolem der Messung des Fläheninhltes eines

Mehr

Aufgabe 1: Diskutieren Sie die Unterschiede bzw. die Vorteile und Nachteile der Mealy- und Moore- Zustandsmaschinen.

Aufgabe 1: Diskutieren Sie die Unterschiede bzw. die Vorteile und Nachteile der Mealy- und Moore- Zustandsmaschinen. Üungen zur Vorlesung Technische Informtik I, SS 2 Strey / Guenkov-Luy / Prger Üungsltt 3 Asynchrone Schltungen / Technologische Grundlgen / Progrmmierre Logische Busteine Aufge : Diskutieren Sie die Unterschiede

Mehr

In Fachwerken gibt es demnach nur konstante Normalkräfte. Die Fachwerksknoten sind zentrale Kraftsysteme.

In Fachwerken gibt es demnach nur konstante Normalkräfte. Die Fachwerksknoten sind zentrale Kraftsysteme. Großüung cwerke cwerke d Ssteme von gerden Stäen, die geenkig (und reiungsfrei) in sog. Knoten(punkten) miteinnder verunden d und nur durc Einzekräfte in den Knotenpunkten estet werden. In cwerken git

Mehr

Aufgabe 1. Die Zahl 6 wird aus 3 gleichen Ziffern mit Hilfe der folgenden mathematischen

Aufgabe 1. Die Zahl 6 wird aus 3 gleichen Ziffern mit Hilfe der folgenden mathematischen Deprtment Mthemtik Tg der Mthemtik 5. Juli 008 Klssenstufen 9, 10 Aufge 1. Die Zhl 6 wird us 3 gleihen Ziffern mit Hilfe der folgenden mthemtishen Symole drgestellt: + Addition Sutrktion Multipliktion

Mehr

10 1 Grundlagen der Schulgeometrie. 1.3 Das Dreieck

10 1 Grundlagen der Schulgeometrie. 1.3 Das Dreieck 10 1 Grundlgen der Shulgeometrie 13 Ds Dreiek In diesem shnitt findet lles in der ffinen Stndrdeene 2 = R 2 sttt Drei Punkte, und, die niht uf einer Gerden liegen, ilden ein Dreiek Die Punkte,, nennt mn

Mehr

R. Brinkmann http://brinkmann-du.de Seite 1 17.11.2010

R. Brinkmann http://brinkmann-du.de Seite 1 17.11.2010 R. rinkmnn http://rinkmnn-du.de Seite 7..2 Grundegriffe der Vektorrehnung Vektor und Sklr Ein Teil der in Nturwissenshft und Tehnik uftretenden Größen ist ei festgelegter Mßeinheit durh die nge einer Mßzhl

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Vorlesung 24: Topological Sort 1: Hintergrund. Einführung in die Programmierung. Bertrand Meyer. Topological sort

Vorlesung 24: Topological Sort 1: Hintergrund. Einführung in die Programmierung. Bertrand Meyer. Topological sort Einführung in ie Progrmmierung Vorlesung 4: Topologil Sort : Hintergrun Bertrn Meer Letzte Üerreitung 3. Jnur 4 3 Topologil sort 4 Prouziere eine zu einer gegeenen Prtiellen Ornung komptile Vollstänige

Mehr

SS 2018 Torsten Schreiber

SS 2018 Torsten Schreiber SS 08 orsten Shreier 8 Beim inneren Produkt ) wird komponentenweise multipliziert und die entstehenden Produkte nshließend. Somit hndelt es sih um keine d nur eine Zhl Sklr) ls Lösung heruskommt. Ds Sklrprodukt

Mehr

Die Satzgruppe des Pythagoras

Die Satzgruppe des Pythagoras 7 Die Stzgruppe des Pythgors In Klssenstufe 7 hen wir uns ei den Inhlten zur Geometrie insesondere mit Dreieken und ihren Eigenshften eshäftigt. In diesem Kpitel wirst du erkennen, dss es ei rehtwinkligen

Mehr

Vorlesung. Einführung in die mathematische Sprache und naive Mengenlehre

Vorlesung. Einführung in die mathematische Sprache und naive Mengenlehre Vorlesung Einführung in die mthemtische Sprche und nive Mengenlehre 1 Allgemeines RUD26 Erwin-Schrödinger-Zentrum (ESZ) RUD25 Johnn-von-Neumnn-Hus Fchschft Menge ller Studenten eines Institutes Fchschftsrt

Mehr

Umwandlung von endlichen Automaten in reguläre Ausdrücke

Umwandlung von endlichen Automaten in reguläre Ausdrücke Umwndlung von endlichen Automten in reguläre Ausdrücke Wir werden sehen, wie mn us einem endlichen Automten M einen regulären Ausdruck γ konstruieren knn, der genu die von M kzeptierte Sprche erzeugt.

Mehr

>1 z. a b. a b. a b. log. 0. a b. Übung 3: Schaltnetze. VU Technische Grundlagen der Informatik

>1 z. a b. a b. a b. log. 0. a b. Übung 3: Schaltnetze. VU Technische Grundlagen der Informatik VU Technische Grundlgen der Informtik Üung 3: Schltnetze 83.579, 205W Üungsgruppen: Mo., 6.. Mi., 8..205 Allgemeiner Hinweis: Die Üungsgruppennmeldung in TISS läuft von Montg, 09.., 20:00 Uhr is Sonntg,

Mehr

Informatik I Modul 3: Schaltnetze

Informatik I Modul 3: Schaltnetze Herbstsemester 2, Institut für Informtik IFI, UZH, Schweiz Informtik I Modul 3: Schltnetze 2 Burkhrd Stiller M3 Modul 3: Schltnetze Einführung in die formlen Grundlgen logischer Beschreibungen Boolesche

Mehr

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester Kurzer Einschub: das Schubfachprinzip.

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester Kurzer Einschub: das Schubfachprinzip. Reguläre Sprchen Automten und Formle Sprchen lis Theoretische Informtik Sommersemester 0 Ds Pumping-Lemm Wir hen is jetzt vier Formlismen kennengelernt, mit denen wir eine reguläre Sprche ngeen können:

Mehr

Durch die Umformung ergibt sich eine Schaltfunktion mit einer minimalen Anzahl von Verknüpfungsoperationen, nämlich 2.

Durch die Umformung ergibt sich eine Schaltfunktion mit einer minimalen Anzahl von Verknüpfungsoperationen, nämlich 2. 2 Die shltlgerishe Umformung von Shltfunktionen in Normlform soll m Beispiel er Umformung einer Mxterm-Normlform in eine Minterm-Normlform gezeigt weren. Beispiel: y = ) ( ) ( ) ( Es ietet sih ie Anwenung

Mehr

Präfixcodes und der Huffman Algorithmus

Präfixcodes und der Huffman Algorithmus Präfixcodes und der Huffmn Algorithmus Präfixcodes und Codebäume Im Folgenden werden wir Codes untersuchen, die in der Regel keine Blockcodes sind. In diesem Fll können Codewörter verschiedene Länge hben

Mehr

LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN. 7. Übung/Lösung Mathematik für Studierende der Biologie 25.11.2015

LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN. 7. Übung/Lösung Mathematik für Studierende der Biologie 25.11.2015 LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN FAKULTÄT FÜR BIOLOGIE Prof. Anres Herz, Dr. Stefn Häusler emil: heusler@biologie.uni-muenchen.e Deprtment Biologie II Telefon: 089-280-74800 Großhernerstr. 2 Fx:

Mehr

Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* aller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt:

Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* aller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt: 8. Grundlgen der Informtionstheorie 8.1 Informtionsgehlt, Entropie, Redundnz Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* ller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt: 1.

Mehr

Mathematische Probleme, SS 2013 Montag $Id: dreieck.tex,v /04/15 09:12:15 hk Exp hk $ 1.4 Dreiecksberechnung mit Seiten und Winkeln

Mathematische Probleme, SS 2013 Montag $Id: dreieck.tex,v /04/15 09:12:15 hk Exp hk $ 1.4 Dreiecksberechnung mit Seiten und Winkeln Mthemtishe Proleme, SS 2013 Montg 15.4 $Id: dreiek.tex,v 1.5 2013/04/15 09:12:15 hk Exp hk $ 1 Dreieke 1.4 Dreiekserehnung mit Seiten und Winkeln In der letzten Sitzung htten wir egonnen die vershiedenen

Mehr

5.6 Gleichsetzungsverfahren

5.6 Gleichsetzungsverfahren .6 Gleihsetzungsverfhren Verfhren: Beide Gleihungen des Gleihungssystems werden nh derselen Vrilen ufgelöst und die entsprehenden Terme werden einnder gleihgesetzt. Beispiele (G x ) ) () x + y () x - y

Mehr

Mathematik schriftlich

Mathematik schriftlich WS KV Chur Abschlussprüfungen 00 für die Berufsmtur kufmännische Richtung Mthemtik schriftlich LÖSUNGEN Kndidtennummer Nme Vornme Dtum der Prüfung Bewertung mögliche erteilte Punkte Punkte. Aufgbe 0. Aufgbe

Mehr

Informatik I WS 07/08 Tutorium 24

Informatik I WS 07/08 Tutorium 24 Info I Tutorium 24 Informatik I WS 07/08 Tutorium 24 3.2.07 astian Molkenthin E-Mail: infotut@sunshine2k.de Web: http://infotut.sunshine2k.de Organisatorisches / Review is zum 2.2 müssen alle Praxisaufgaben

Mehr

Hans U. Simon Bochum, den Annette Ilgen. Beispiele zur Vorlesung. Theoretische Informatik. WS 08/09

Hans U. Simon Bochum, den Annette Ilgen. Beispiele zur Vorlesung. Theoretische Informatik. WS 08/09 Hns U. Simon Bohum, den 7..28 Annette Ilgen Beispiele zur Vorlesung Theoretishe Informtik WS 8/9 Voremerkung: Hier findet sih eine Smmlung von Beispielen und Motivtionen zur Vorlesung Theoretishe Informtik.

Mehr

1 Kurvendiskussion /40

1 Kurvendiskussion /40 009 Herbst, (Mthemtik) Aufgbenvorschlg B Kurvendiskussion /0 Gegeben ist eine Funktion f mit der Funktionsgleichung: f ( ) 0 6 = ; mit.. Untersuchen Sie ds Verhlten der Funktionswerte von f im Unendlichen.

Mehr

Lineare Gleichungssysteme mit 3 und mehr Variablen

Lineare Gleichungssysteme mit 3 und mehr Variablen Linere Gleihungssysteme mit un mehr rilen Beispiel 1 mit rilen: 11 Zunähst estimmt mn ie rile, ie mn ls Erste eliminieren will. In iesem Fll soll von hinten nh vorn vorgegngen weren,.h. zuerst soll rile

Mehr

Inhaltsverzeichnis. Inhaltsverzeichnis... 1 3.Logik... 2. 3.1 Zahlensysteme... 2. 3.2 Grundbegriffe zweiwertiger Logik... 13

Inhaltsverzeichnis. Inhaltsverzeichnis... 1 3.Logik... 2. 3.1 Zahlensysteme... 2. 3.2 Grundbegriffe zweiwertiger Logik... 13 Inhltsverzeichnis Inhltsverzeichnis... 3.Logik... 2 3. Zhlensysteme... 2 3.2 Grundegriffe zweiwertiger Logik... 3 3.3 Rechengesetze für logische Ausdrücke... 9 3.4 Logische Funktionen... 24 3.5 Logische

Mehr

Minimierung von DFAs. Minimierung 21 / 98

Minimierung von DFAs. Minimierung 21 / 98 Minimierung von DFAs Minimierung 21 / 98 Ein Beispiel: Die reguläre Sprche L({, } ) Wie stellt mn fest, o ein Wort ds Suffix esitzt? Ein erster Anstz: Speichere im ktuellen Zustnd die eiden zuletzt gelesenen

Mehr

Logik und Grundlagen der Informatik

Logik und Grundlagen der Informatik Logik und Grundlgen der Informtik Üungsklusur Stephn Schulz 25. Ferur 2015 1 Aufge 1: (2+2+3P) Sei M 1 = {2x x Z}. Sei M 2 = {5x x N}. ) Bestimmen Sie M 1 M 2. ) Bestimmen Sie M 2 \M 1 c) Geen Sie eine

Mehr

Übungsblatt Gleichungssysteme Klasse 8

Übungsblatt Gleichungssysteme Klasse 8 Üungsltt Gleichungsssteme Klsse 8 Auge : Berechne die Lösungen des Gleichungspres: I II 7 Kontrolliere durch Einseten. Auge : Löse dem Additionsverhren: I 7-6 II 9 Auge : Gegeen ist olgendes linere Gleichungssstem

Mehr

Minimalautomat. Wir stellen uns die Frage nach dem. kleinsten DFA für eine reguläre Sprache L, d.h. nach einem DFA mit möglichst wenigen Zuständen.

Minimalautomat. Wir stellen uns die Frage nach dem. kleinsten DFA für eine reguläre Sprache L, d.h. nach einem DFA mit möglichst wenigen Zuständen. Rechtslinere Sprchen Minimlutomt Es git lso sehr verschiedene endliche Beschreiungen einer regulären Sprche (DFA, NFA, rechtslinere Grmmtiken, reguläre Ausdrücke). Diese können ineinnder üersetzt werden.

Mehr

Mathematische Probleme, SS 2018 Dienstag 5.6. $Id: dreieck.tex,v /06/05 15:41:51 hk Exp $ 2.1 Dreiecksberechnung mit Seiten und Winkeln

Mathematische Probleme, SS 2018 Dienstag 5.6. $Id: dreieck.tex,v /06/05 15:41:51 hk Exp $ 2.1 Dreiecksberechnung mit Seiten und Winkeln Mthemtishe Proleme, SS 2018 Dienstg 5.6 $Id: dreiek.tex,v 1.43 2018/06/05 15:41:51 hk Exp $ 2 Dreieke 2.1 Dreiekserehnung mit Seiten und Winkeln Am Ende der letzten Sitzung htten wir den sogennnten Kongruenzstz

Mehr

Shortest Path Algorithmus von Edsger Dijkstra

Shortest Path Algorithmus von Edsger Dijkstra Shortest Pth Algorithmus von Esger Dijkstr Mihel Dienert 16. Dezemer 2010 Inhltsverzeihnis 1 Shortest Pth Algorithmus 1 1.1 Grphen................................. 1 1.2 Knoten..................................

Mehr

Einführung in die Schaltalgebra

Einführung in die Schaltalgebra Einführung in die chltlger GUNDBEGIFFE: - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 2 ECHENEGELN - - - - - - - - - - - - - - - - - - - - - - - -

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

/LQHDUH*OHLFKXQJVV\VWHPH

/LQHDUH*OHLFKXQJVV\VWHPH /LQHDUH*OHLFKXQJVV\VWHPH (für Grund- und Leistungskurse Mthemtik) 6W55DLQHU0DUWLQ(KUHQE UJ*\PQDVLXP)RUFKKHLP Nch dem Studium dieses Skripts sollten folgende Begriffe eknnt sein: Linere Gleichung; homogene

Mehr

McAfee Firewall Enterprise

McAfee Firewall Enterprise Hnduh für den Shnellstrt Revision C MAfee Firewll Enterprise Version 8.3.x In diesem Hnduh für den Shnellstrt finden Sie kurzgefsste Anweisungen zum Einrihten von MAfee Firewll Enterprise. 1 Üerprüfen

Mehr

1. Grundlagen der Informatik Digitale Systeme

1. Grundlagen der Informatik Digitale Systeme 1. Grundlgen der Informtik Inhlt Grundlgen digitler Systeme Boolesche Alger / Aussgenlogik Orgnistion und Architektur von Rechnern Zhlensysteme und interne Zhlendrstellung Algorithmen, Drstellung von Algorithmen

Mehr

5.2 Quadratische Gleichungen

5.2 Quadratische Gleichungen Mthemtik mit Mthd MK..0 0_0_Qud_Gleih.xmd Einfhe qudrtishe Gleihungen. Qudrtishe Gleihungen ef.: Eine Gleihung, in der x höhstens qudrtish (in der zweiten Potenz) vorkommt, heißt qudrtishe Gleihung. Gewöhnlihe

Mehr

Automaten und formale Sprachen Notizen zu den Folien

Automaten und formale Sprachen Notizen zu den Folien 5 Ds Pumping Lemm Schufchprinzip (Folie 144) Automten und formle Sprchen Notizen zu den Folien Im Block Ds Schufchprinzip für endliche Automten steht m n (sttt m > n), weil die Länge eines Pfdes die Anzhl

Mehr

Prüfungsteil Schriftliche Kommunikation (SK)

Prüfungsteil Schriftliche Kommunikation (SK) SK Üerlik und Anforderungen Üerlik und Anforderungen Prüfungsteil Shriftlihe Kommuniktion (SK) Üerlik und Anforderungen Worum geht es? In diesem Prüfungsteil sollst du einen Beitrg zu einem estimmten Them

Mehr

3 Module in C. 4 Gültigkeit von Namen. 5 Globale Variablen (2) Gültig im gesamten Programm

3 Module in C. 4 Gültigkeit von Namen. 5 Globale Variablen (2) Gültig im gesamten Programm 3 Module in C 5 Glole Vrilen!!!.c Quelldteien uf keinen Fll mit Hilfe der #include Anweisung in ndere Quelldteien einkopieren Bevor eine Funktion us einem nderen Modul ufgerufen werden knn, muss sie deklriert

Mehr

3 Wiederholung des Bruchrechnens

3 Wiederholung des Bruchrechnens 3 Wiederholung des Bruchrechnens Ein Bruch entsteht, wenn ein Gnzes in mehrere gleiche Teile zerlegt wird. Jeder Bruch besteht us dem Zähler, der Zhl über dem Bruchstrich, und dem Nenner, der Zhl unter

Mehr

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1 igitltechnik 3 Sequenzielle Schltungen A Revision 1.1 Trnsitionssysteme Synchroner sequenzieller Entwurf Timing-Anlyse Pipelining Mely und Moore Mschinen Zustndsmschinen in Verilog Sequentielle Schltungen

Mehr

Suche in Texten. Naiver Algorithmus. Knuth-Morris-Pratt-Algorithmus. Karp-Rabin-Algorithmus

Suche in Texten. Naiver Algorithmus. Knuth-Morris-Pratt-Algorithmus. Karp-Rabin-Algorithmus Suhe in Texten Niver Algorithmus Knuth-Morris-Prtt-Algorithmus Krp-Rin-Algorithmus M.O.Frnz; Jnur 2008 Algorithmen und Dtenstrukturen - Textsuhe 2-1 Suhe in Texten Niver Algorithmus Knuth-Morris-Prtt-Algorithmus

Mehr

Die nächste Übung ist vom 12.1. auf den 19.1.2012 verlegt worden.

Die nächste Übung ist vom 12.1. auf den 19.1.2012 verlegt worden. Allgemeines Einige Hinweise: Die nähste Üung ist vom.. auf den 9..0 verlegt worden. Die alten Klausuren findet Ihr unter folgendem Link: http://www.wiwi.uni muenster.de/vwt/studieren/pruefungen_marktpreis.htm

Mehr

2.6 Reduktion endlicher Automaten

2.6 Reduktion endlicher Automaten Endliche Automten Jörg Roth 153 2.6 Reduktion endlicher Automten Motivtion: Wir sind n Automten interessiert, die mit möglichst wenigen Zuständen uskommen. Automten, die eine Sprche mit einem Minimum n

Mehr

183.580, WS2012 Übungsgruppen: Mo., 22.10.

183.580, WS2012 Übungsgruppen: Mo., 22.10. VU Grundlagen digitaler Systeme Übung 2: Numerik, Boolesche Algebra 183.580, WS2012 Übungsgruppen: Mo., 22.10. Aufgabe 1: Binäre Gleitpunkt-Arithmetik Addition & Subtraktion Gegeben sind die Zahlen: A

Mehr

Zustand eines digitalen Systems. FB Technologie und Management. Negation, Disjunktion, Konjunktion. Datenverarbeitung 1 (Kapitel 4 Boolesche Algebra)

Zustand eines digitalen Systems. FB Technologie und Management. Negation, Disjunktion, Konjunktion. Datenverarbeitung 1 (Kapitel 4 Boolesche Algebra) FB Technologie und Mngement Zustnd eines digitlen Sstems Schltnetz Dtenverrbeitung 1 (Kpitel 4 Boolesche Algebr) x1 x2 x3 x n =f(x1xn) x1 x2 x3 x n k =f k (x1xn) 1 2 3 m 1 2 Pegelbereiche Signlbereiche

Mehr

Installations und Bedienungsanleitung

Installations und Bedienungsanleitung Instlltions und Bedienungsnleitung EKRUCBS Instlltions und Bedienungsnleitung Deutsch Inhltsverzeichnis Inhltsverzeichnis Für den Benutzer 2 1 Schltflächen 2 2 Sttussymole 2 Für den Instllteur 3 3 Üersicht:

Mehr

Einschub: Zahlendarstellung und Codes

Einschub: Zahlendarstellung und Codes Einschu: Zhlendrstellung und Codes (Unvollständige Drstellung) DST SS23 - Codes und KMAPs P. Fischer, TI, Uni Mnnheim, Seite Binärzhlen N-stellige Binärzhl:... Einzelne Stellen heißen Bits (inry digits)

Mehr

10: Lineare Abbildungen

10: Lineare Abbildungen Chr.Nelius: Linere Alger SS 2008 1 10: Linere Aildungen 10.1 BEISPIEL: Die Vektorräume V 2 und Ê 2 hen diegleiche Struktur. Es git eine ijektive Aildung f : V 2 Ê 2, die durch die Vorschrift definiert

Mehr

Bonusklausur über den Stoff der Vorlesung Grundlagen der Informatik II (45 Minuten)

Bonusklausur über den Stoff der Vorlesung Grundlagen der Informatik II (45 Minuten) Institut für Angewndte Informtik und Formle Beschreiungsverfhren 5.0.208 Bonusklusur üer den Stoff der Vorlesung Grundlgen der Informtik II (45 Minuten) Nme: Vornme: Mtr.-Nr.: Semester: (WS 207/8) Ich

Mehr

Logarithmus - Übungsaufgaben. I. Allgemeines

Logarithmus - Übungsaufgaben. I. Allgemeines Eie Gleichug höhere Grdes wie z. B. Gymsium / Relschule Logrithmus - Üugsufge Klsse 0 I. Allgemeies k ch ufgelöst werde, idem m die Wurzel zieht. Tritt die Uekte jedoch im Epoete eier Potez uf, spricht

Mehr

Gruppe A Bitte tragen Sie SOFORT und LESERLICH Namen und Matrikelnr. ein, und legen Sie Ihren Studentenausweis bereit.

Gruppe A Bitte tragen Sie SOFORT und LESERLICH Namen und Matrikelnr. ein, und legen Sie Ihren Studentenausweis bereit. Gruppe A Bitte trgen Sie SOFORT und LESERLICH Nmen und Mtrikelnr. ein, und legen Sie Ihren Studentenusweis ereit. 1. Leistungsüerprüfung AUS DATENMODELLIERUNG (184.685) GRUE A 16.04.2013 Mtrikelnr. Fmiliennme

Mehr

Formale Systeme, Automaten, Prozesse SS 2010 Musterlösung - Übung 2 M. Brockschmidt, F. Emmes, C. Fuhs, C. Otto, T. Ströder

Formale Systeme, Automaten, Prozesse SS 2010 Musterlösung - Übung 2 M. Brockschmidt, F. Emmes, C. Fuhs, C. Otto, T. Ströder Prof Dr J Giesl Formle Systeme, Automten, Prozesse SS 2010 Musterlösung - Üung 2 M Brockschmidt, F Emmes, C Fuhs, C Otto, T Ströder Hinweise: Die Husufgen sollen in Gruppen von je 2 Studierenden us dem

Mehr

Beispiellösungen zu Blatt 24

Beispiellösungen zu Blatt 24 µthemtischer κorrespondenz- zirkel Mthemtisches Institut Georg-August-Universität Göttingen Aufge Beispiellösungen zu Bltt Mn eweise, dss mn ein Qudrt für jede Zhl n 6 in genu n kleinere Qudrte zerlegen

Mehr

solche mit Textzeichen (z.b. A, a, B, b,!) solche mit binären Zeichen (0, 1)

solche mit Textzeichen (z.b. A, a, B, b,!) solche mit binären Zeichen (0, 1) teilung Informtik, Fh Progrmmieren 1 Einführung Dten liegen oft ls niht einfh serier- und identifizierre Dtensätze vor. Stttdessen reräsentieren sie lnge Zeihenketten, z.b. Text-, Bild-, Tondten. Mn untersheidet

Mehr

Klausur Formale Sprachen und Automaten Grundlagen des Compilerbaus

Klausur Formale Sprachen und Automaten Grundlagen des Compilerbaus Klusur Formle Sprchen und Automten Grundlgen des Compilerus 25. Novemer 2014 Nme: Unterschrift: Mtrikelnummer: Kurs: Note: Aufge erreichre erreichte Nr. Punkte Punkte 1 10 2 10 3 12 4 11 5 9 6 6 7 11 8

Mehr

Ehrenfeucht-Fraïssé-Spiele über Spuren

Ehrenfeucht-Fraïssé-Spiele über Spuren Ehrenfeuht-Frïssé-Spiele üer Spuren Mrtin Horsh 14. Juni 2006 Vortrgsinhlt Ehrenfeuht-Frïssé-Spiel mit n Runden und k Mrken Lokle Temporllogik üer Mzurkiewiz-Spuren (LoTL) LoTL und die Logik erster Stufe

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlgen der Informtik Vorlesungsprüfung vom 02.03.2007 Gruppe B Lösung Nme: Mtrikelnummer: Zuerst itte Nme und Mtrikelnummer uf ds Titelltt schreien. Es sind keine Unterlgen und keine Temreit erlut.

Mehr

Der Tigerschwanz kann als Stimmungsbarometer gesehen werden. a) Richtig b) Falsch. Tiger sind wasserscheu. a) Richtig b) Falsch

Der Tigerschwanz kann als Stimmungsbarometer gesehen werden. a) Richtig b) Falsch. Tiger sind wasserscheu. a) Richtig b) Falsch ?37??38? Der Tigershwnz knn ls Stimmungsrometer gesehen werden. Tiger sind wssersheu.?39??40? Ds Gerüll der Tigermännhen soll die Weihen nloken. Die Anzhl der Südhinesishen Tiger eträgt nur mehr ) 2 )

Mehr

Grundwissen Mathematik 8.Klasse Gymnasium SOB. Darstellung im Koordinatensystem: Der Kreisumfang ist direkt proportional zu seinem Radius.

Grundwissen Mathematik 8.Klasse Gymnasium SOB. Darstellung im Koordinatensystem: Der Kreisumfang ist direkt proportional zu seinem Radius. Gymso 1 Grundwissen Mthemtik 8.Klsse Gymnsium SOB 1.Funktionle Zusmmenhänge 1.1.Proportionlität Ändern sih ei einer Zuordnung die eiden Größen im gleihen Verhältnis, so spriht mn von einer direkten Proportionlität.

Mehr

Vorkurs Theoretische Informatik

Vorkurs Theoretische Informatik Vorkurs Theoretische Informtik Einführung in reguläre Sprchen Areitskreis Theoretische Informtik Freitg, 05.10.2018 Fchgruppe Informtik Üersicht 1. Chomsky-Hierchie 2. Automten NEA DEA 3. Grmmtik und Automten

Mehr

Seminar zum anorganisch-chemischen Praktikum I. Quantitative Analyse. Prof. Dr. M. Scheer Patrick Schwarz

Seminar zum anorganisch-chemischen Praktikum I. Quantitative Analyse. Prof. Dr. M. Scheer Patrick Schwarz Seminr zum norgnish-hemishen Prktikum I Quntittive Anlyse Prof. Dr. M. Sheer Ptrik Shwrz itertur A. F. Hollemn, E. Wierg, ehruh der Anorgnishen Chemie, de Gruyter Verlg, Berlin, New York (Ahtung, neue

Mehr

Relationen: Äquivalenzrelationen, Ordnungsrelationen

Relationen: Äquivalenzrelationen, Ordnungsrelationen TH Mittelhessen, Sommersemester 202 Lösungen zu Üungsltt 9 Fchereich MNI, Diskrete Mthemtik 2. Juni 202 Prof. Dr. Hns-Rudolf Metz Reltionen: Äquivlenzreltionen, Ordnungsreltionen Aufge. Welche der folgenden

Mehr

Einführung in die Theoretische Informatik I/ Grundlagen der Theoretischen Informatik. SS 2007 Jun.-Prof. Dr. Bernhard Beckert Ulrich Koch.

Einführung in die Theoretische Informatik I/ Grundlagen der Theoretischen Informatik. SS 2007 Jun.-Prof. Dr. Bernhard Beckert Ulrich Koch. Einführung in die Theoretishe Informtik I/ Grundlgen der Theoretishen Informtik SS 2007 Jun.-Prof. Dr. Bernhrd Bekert Ulrih Koh Nhklusur 25. 09. 2007 Persönlihe Dten itte gut leserlih usfüllen! Vornme:...

Mehr