9. Technologische Realisierung (Überblick)

Größe: px
Ab Seite anzeigen:

Download "9. Technologische Realisierung (Überblick)"

Transkript

1 9. Technologische Realisierung (Überblick) Sehr schneller technologischer Wandel. Entwurfsverfahren für Schaltnetze und Schaltwerke weitgehend unabhängig von technologischer Realisierung. Daher hier nur grober Überblick. 9.1 Elektrotechnische Grundlagen Ohmsches Gesetz I U R U R * I R U Spannung in Volt [V] I Strom in Ampere [A] R Widerstand in Ohm U I Strom oft in ma (10-3 A) oder µa (10-6 A) Widerstand in k (10 3 ) oder M (10 6 ) + - U I R (Ohmscher) Widerstand Beispiel: U = 5V, R = 1k I U R 5V 1k 5mA Beschreibt den linearen Zusammenhang zwischen Strom und Spannung an einem Widerstand. Technische Grundlagen der Informatik 9-1

2 Widerstandsgerade sinkend R > 0 I R = 0 wachsend U I R Sonderfälle: R Gerade mit Steigung 8 1, R da I Kurzschluss: R = 0 U = 0 Leerlauf: R I = 0 1 R U U Technische Grundlagen der Informatik 9-2

3 Strom- und Spannungsmessung Multimeter A + - I R U + - V R Amperemeter messen den Strom I und werden in Serie zu Widerständen geschaltet. Voltmeter messen die Spannung U und werden parallel zu Widerständen geschaltet. In der Praxis meist Universal-Messgeräte Auf richtige Polung achten! Technische Grundlagen der Informatik 9-3

4 Spannungsteiler U = U 1 + U 2 U1 U U U 2 2 R R 1 2 R2 R R 1 2 U R1 R2 I U1 U2 Ableitbar mit Ohmschen Gesetz: U U U U I 1 R2 R R R R 1 2 Durch alle Widerstände fließt der gleiche Strom I 1 2 Auf mehr als zwei Widerstände verallgemeinerbar! R = R 1 + R 2 (Serienschaltung von Widerständen) Spannungen verhalten sich im gleichen Verhältnis wie Widerstände. Beispiel: U = 5V, R 1 = 2k, R 2 = 3k => R = 2k + 3k = 5k I = 5V/5k = 1mA U 1 = U* R 1 /R = 5V * 2/5 = 2V, U 2 = U* R 2 /R = 5V * 3/5 = 3V Technische Grundlagen der Informatik 9-4

5 Spannungsteiler mit Widerstandsgeraden I 5/2 ma 5/3 ma R 1 R 2 U R1 R2 I U1 U2 3V 5V U 2 Gerade für R 2 U2 I U 2 = 0 => I = 0 R2 U 2 = U => I = 5V/3k = 5/3mA Gerade für R 1 U1 U U2 U2 U U I 2 = 0 => I = 5V/2k = 5/2mA R1 R1 R1 R1 U 2 = U => I = 0 Technische Grundlagen der Informatik 9-5

6 Potentiometer Einstellbarer Spannungsteiler Drehpotentiometer R1 U1 U P R2 U2 Trimmpotentiometer Wird häufig zum Einstellen von Parametern genutzt (z. B. Lautstärkeregler, Helligkeitsregler) Technische Grundlagen der Informatik 9-6

7 9.2 Halbleiterbauelemente Bipolartransistor Bipolarer npn-transistor in Emitterschaltung kann als Schalter betrieben werden (C:Collektor,B:Basis,E:Emitter). R a +U Symbol eines bipolaren npn- Transistors C R a +U I U/R 0 a C I C B I B B T U CE = U a U BE = U E E I E E U E U a Technische Grundlagen der Informatik 9-7

8 Schnittbild: n: n-dotierung p: p-dotierung Reines Halbleitermaterial (Silizium, Germanium) hat einen temperaturabhängigen Widerstand, der für technische Nutzung wenig geeignet ist. Brechen Valenzelektronen bei der Temperaturerhöhung aus ihrer Bindung, entstehen bewegliche Ladungen in Form von Elektronen (negative Ladungsträger) Defektelektronen oder Löcher (positive Ladungsträger) Durch Dotierung, d. h. Einbau von Störstellenatomen, kann man erreichen, dass temperaturunabhängig entweder Elektronenleitung (n-dotierung) oder Löcherleitung (p-dotierung) dominiert. Die dominierenden Ladungsträger werden auch als Majoritätsträger, die anderen als Minoritätsträger bezeichnet. Technische Grundlagen der Informatik 9-8

9 Bipolartransistor Vom Emitter werden Majoritätsträger in die niedrig dotierte, schmale Basiszone emittiert. Abhängig vom Basisstrom erreichen diese Elektronen den Kollektor und es fließt ein Strom zwischen Emitter und Kollektor. Ist der Basisstrom Null (I B (Transistor sperrt). = 0), sperrt die Basis den Emitter-Kollektor-Strom Fließt ein Basisstrom (I B > 0), fließt auch ein Emitter-Kollektor-Strom (Transistor öffnet). Transistor kann als Verstärker und/oder Schalter betrieben werden. Weitere Variante: pnp-transistor (Spannungen umpolen!) Technische Grundlagen der Informatik 9-9

10 Kennlinienfeld eines bipolaren npn-transistors Widerstandsgerade für R a =2k, U=5V Ausgangskennlinien I c = f(u CE ) I B = Parameter (Emitterschaltung) Basis-Emitter-Sättigungsspannung U BE sat = f (I C ) I c [ma] I c [ma] Sättigungsbereich I B = 0.08 ma C C 3 X Y U CE [V] U BE sat [V] Technische Grundlagen der Informatik 9-10

11 Arbeitspunkt X (Low): U CE =U CEX 0.3 V für I B 60 A Y (High): U CE =U CEY 4.2 V für I B 0 A Arbeitspunkt X im Sättigungsbereich - Sättigung: es werden mehr Ladungsträger in die Basis gepumpt als für die Steuerung von I C erforderlich sind (siehe U BEsat =f(i C )) - Vorteil: Unabhängigkeit von Bauteiletoleranzen Nachteil: Transistor schaltet langsamer Schaltung wirkt als Inverter und Verstärker! Technische Grundlagen der Informatik 9-11

12 Inverter mit Pegelanpassung durch Spannungsteiler (z. B. R e 40 k für Kennlinien oben) R a R a 1 U CE R e C B U e U BE E U CE = U a Vorgänger, liefert U CE als Information Inverter mit Pegelanpassung R e U CE = U e = 4.2 V U BE 0 (kann aus Kennlinien auch genauer ermittelt werden) I B = 100 A => R e = U e /I B = 4.2 V/0.1 ma = 42 k Technische Grundlagen der Informatik 9-12

13 Reales Verhalten eines Inverters i B (t) I 1 u C (t) 0,9 U U 0,1 U t r t s t f ideales Eingangssignal t reales Ausgangssignal t t r : Anstiegszeit t s : Speicherzeit t f : Abfallzeit Keine Rechteckimpulse, sondern Anstiegs- und Abfallzeiten t r und t f. Gatterverzögerung (Speicherzeit t s ). Technische Grundlagen der Informatik 9-13

14 Definition von 0 und 1 Es entstehen undefinierte Zustände durch die Anstiegs- und Abfallzeiten, die nicht eindeutig 0 oder 1 zugeordnet werden können ( bei Gatterlaufzeit berücksichtigen). Ein- und Ausgangsbelastung Kann das reale Signal noch zusätzlich verändern, daher vorgegebenen Maximalwerte abhängig von Technologie. FAN-IN: Zahl von Standardlasten, mit denen ein Eingang einen treibenden Ausgang belastet (z. B. TTL-Gatter: 1) FAN-OUT: Zahl von Standardlasten, die der Ausgang eines Bausteins treiben kann (z. B. TTL-Gatter: 10) Technische Grundlagen der Informatik 9-14

15 Diode Kennlinie idealisiert I real I [ma] I 100 C A K U 25 C U 0 U U [V] Diode sperrt für U < U 0 (U 0 Schwellspannung) Technische Grundlagen der Informatik 9-15

16 Schnittbild (pn-übergang): A K p n Diffusionsströme Si A: Anode K: Kathode pn-übergang Unterschiedliche Ladungsträgerkonzentrationen an der Grenzfläche werden durch Diffusionsströme ausgeglichen. Diffusionsstrom verschwindet kurz hinter der Grenzfläche durch Rekombination (Elektronen verschwinden in Löchern) In der Übergangszone zwischen p- und n-halbleitern bildet sich ein Raumladungsgebiet. Technische Grundlagen der Informatik 9-16

17 Keine äußere Spannung: Diffusionsstrom wird durch umgekehrt gerichteten Feldstrom ausgeglichen (Verarmung an freien Ladungsträgern im Übergangsgebiet). Positive äußere Spannung: Spannung im pn-übergang erniedrigt sich, Zone geringer Leitfähigkeit schrumpft. Oberhalb einer Schwellspannung U 0 ist die innere Spannung abgebaut. Diode leitet (pn-übergang hat keinen Widerstand mehr) Negative äußere Spannung: Innere Spannung am pn-übergang erhöht sich, die an Ladungsträgern freie Zone wächst. Diode sperrt (stellt hohen Widerstand dar) Diode kann damit je nach Polung ebenfalls als Schalter verwendet werden. Technische Grundlagen der Informatik 9-17

18 Schottky-Diode I Metall n- Silizium U I U Statt pn-übergang Schottky-Kontakt, der einen Übergang von schwach dotiertem Silizium zu einem aufgedampften Metallkontakt darstellt. Kennlinie ähnlich pn-übergang! Technische Grundlagen der Informatik 9-18

19 Feldeffekttransistor (FET) p-kanal MOS-FET (Metal-Oxide-Semiconductor) kann ebenfalls als Schalter betrieben werden. Gate Source S G D Drain + p SiO 2 p - Gate aus Metall (z.b. Aluminium) n Si Substrat Liegt am Gate G keine Spannung an, fließt kein Strom von Source S zu Drain D (Transistor sperrt). Wird an G eine negative Spannung angelegt, werden positive Ladungsträger Löcher dicht unter den Gate angezogen (Enhancement), negative Ladungsträger (Elektronen) verdrängt. Es bildet sich ein leitender p-kanal, über den Strom zwischen S und D fließt (Transistor öffnet). Vorteile: Es fließt praktisch kein Strom in G, die Steuerung erfolgt über die Spannung U GS (hochohmiger Eingang). Hohe Integrationsdichte durch einfachen Aufbau. Technische Grundlagen der Informatik 9-19

20 Eingangskennlinie p-kanal MOS-FET Ausgangskennlinie p-kanal MOS-FET Ähnliches Verhalten wie Bipolartransistor, aber statt Basisstrom Steuerung über Gate-Source-Spannung (hochohmiger Eingang). Technische Grundlagen der Informatik 9-20

21 Depletion-MOS-FET S G D p n p Substrat Ohne Gate-Spannung fließt ein Strom durch den p-kanal. Durch positive Gate- Spannung wird p-kanal an Ladungsträgern verarmt, d. h. es fließt weniger bzw. kein Strom. Technische Grundlagen der Informatik 9-21

22 Eingangskennlinien und Schaltsymbole Bei Depletion-MOS-FET ist Eingangsspannung zu positiven bzw. negativen Gate- Source-Spannungen hin verschoben. Technische Grundlagen der Informatik 9-22

23 Inverter mit n-kanal-enhancement-mos-fet U P R U 1 G D S U 2 Arbeitspunkte (Widerstandsgrade) Ermittlung analog zu Bipolartransistoren. Technische Grundlagen der Informatik 9-23

24 Inverter mit selbstleitendem Depletion-MOS-FET statt Widerstand R D-MOS U P U2 U 1 E-MOS Für integrierte Schaltungen günstiger, da Transistoren leichter zu realisieren sind als Widerstände! Technische Grundlagen der Informatik 9-24

25 9.2 Schaltkreisfamilien Bipolare Transistoren und MOS-Feldeffekttransistoren Beispiele: npn-transistor (epitaxial) p-kanal MOS-Transistor (PMOS) Technische Grundlagen der Informatik 9-25

26 Bipolare Schaltkreisfamilien RTL (Resistor-Transistor-Logik): veraltet DTL (Dioden-Transistor-Logik): veraltet TTL (Transistor-Transistor-Logik): Standardfamilie ECL (Emitter-Coupled-Logic): sehr schnell I 2 L (Integrierte Injektionslogik): Mikroprozessoren, Gate-Arrays schnell, aber im Vergleich zu MOS niedrigere Integrationsdichten und höhere Ströme Technische Grundlagen der Informatik 9-26

27 MOS-Schaltkreisfamilien Beispiel: NAND-Gatter U - U + U + P N P P E 1 P P A E 1 N A E 1 N A E 2 E 2 N E 2 N PMOS NMOS CMOS hohe Integrationsdichten früher langsamer als bipolare Techniken (heute schnelle CMOS-Techniken bekannt) Standard bei VLSI-Bauelementen (Mikroprozessor, Speicher, kundenspezifische Schaltkreise) hoher Eingangswiderstand, geringe Ströme weitere Schaltkreisfamilien (z. B. Galliumarsenid) bisher nur für Spezialanwendungen von Bedeutung. Technische Grundlagen der Informatik 9-27

28 Zuordnung von Spannungen zu logischen Zuständen Positive Logik: Dem positiveren von zwei definierten Spannungspegeln wird die logische 1, dem negativeren die 0 zugeordnet. Negative Logik: Dem negativeren von zwei definierten Spannungspegeln wird die logische 1, dem positiveren die 0 zugeordnet. Hier: nur positive Logik Technische Grundlagen der Informatik 9-28

29 Spannungspegel In realen Schaltungen müssen abhängig von der Schaltkreisfamilie den logischen Werten Spannungsbereiche zugeordnet werden. Beispiel: TTL-Pegel U 1 U 2 5 V 5 V TTL- Typisch 1 INVERTER 3.5 V 2 V 0.8 V 0 V nicht definiert V U 1 U V nicht definiert Unterschiedliche Bereiche für Ein- und Ausgabe. Pegel am Gatterausgang von der Last abhängig (d. h. Anzahl angeschlossener Gatter, vgl. Fan-out). 1 0 Technische Grundlagen der Informatik 9-29

30 Beispiel: CMOS-Pegel U 1 U 2 10 V 10 V 9.95 V V 3 V Störspannungsabstand CMOS - INVERTER 0 V 0 U 1 U V 0 Wesentlich größerer Störabstand als TTL. Technische Grundlagen der Informatik 9-30

31 Schaltkreise mit diskreten Bauelementen Verwendung von diskreten Dioden, Transistoren, Widerständen, Kondensatoren,... UND- bzw. ODER-Gatter aus Dioden und Widerständen U P E 1 UND-Gatter: Liegt mindestens ein Eingang auf 0, leitet die zugehörige E 2 A Diode und der Ausgang ist R ebenfalls 0. E 1 E 2 A Sind alle Eingänge auf 1, sperren alle Dioden und der E n Ausgang ist ebenfalls 1. ODER-Gatter: Liegt mindestens ein Eingang R auf 1, leitet die Diode und der Ausgang ist 1. E n Sind alle Eingänge auf 0, UND- Gatter ODER-Gatter sperren alle Dioden und Ausgang ist 0. Real müssen noch die Diodenrestspannungen berücksichtigt werden. Wegen der Diodenrestspannung können nicht beliebig viele Gatter hintereinander geschaltet werden. Ausgänge sind nur gering belastungsfähig (kleiner FAN-OUT). Technische Grundlagen der Informatik 9-31

32 NAND-Gatter mit zwei Eingängen U P U P D 1 R 1 C R 4 A E 1 R 2 T E 2 R 3 D 2 Nachgeschalteter Transistor bildet Inverter, so dass aus UND-Gatter ein NAND-Gatter wird. Widerstand R2 dient der Pegelanpassung, Kondensator C der Erhöhung der Schaltgeschwindigkeit. Durch Verstärkerwirkung des Transistors höherer FAN-OUT, und es können beliebig viele Gatter hintereinander geschaltet werden. Für praktische Realisierungen vorzuziehen. U N Technische Grundlagen der Informatik 9-32

33 Integrierte Schaltkreise Mehrere Gatter werden auf einem Chip untergebracht. SSI Small Scale Integration (< 10 2 Gatterfunktionen) MSI Medium Scale Integration (10 2 < Gatterfunktionen < 10 3 ) LSI Large Scale Integration (10 3 < Gatterfunktionen < 10 4 ) GSI Grand Scale Integration (10 4 < Gatterfunktionen < 10 5 ) VLSI Very Large Scale Integration (> 10 5 Gatterfunktionen) Heutige Mikroprozessoren: mehrere Millionen Gatterfunktionen! Technische Grundlagen der Informatik 9-33

34 Widerstands-Transistor-Logik NOR-Gatter in RTL-Technik (Resistor-Transistor-Logic) U P R 3 R 11 A E 1 R 12 E 2 R 2 Transistor leitet, wenn wenigstens ein Eingang auf hohem Potential liegt (Dimensionierung für mehr Eingänge schwierig!). Technische Grundlagen der Informatik 9-34

35 NOR-Gatter in DCTL-Technik (Direct-Coupled-Transistor-Logic) U P R 2 R 11 R 12 E 1 E 2 E 3 R 13 A Ausgang 0, wenn mindestens ein Transistor öffnet, d. h. am Eingang 1 anliegt. Technische Grundlagen der Informatik 9-35

36 NAND-Gatter in RTL-Technik U P R 2 E 1 R 11 A E 2 R 12 E 3 R 13 Ausgang nur 0, wenn alle Transistoren öffnen, d. h. die Eingänge 1 sind. Technische Grundlagen der Informatik 9-36

37 Dioden-Transistor-Logik (DTL) NAND-Gatter in DTL-Technik U P U P R 1 R 3 E 1 D 1 D 3 D 4 A E 2 D 2 R 2 Dioden-UND-Gatter mit nachgeschaltetem Inverter (Dioden D3, D4 dienen der Pegelanpassung) Technische Grundlagen der Informatik 9-37

38 Transistor-Transistor-Logik (TTL) Heute noch weit verbreitete Standard SSI/MSI-Familie TTL-Grundschaltung (NAND) mit Multi-Emitter-Transistor) U P a) b) U P U P U P E 1 ("0") E 2 ("1") A ("1") E 1 ("1") E 2 ("1") A ("0") Multi-Emitter-Transistor leitet, wenn mind. ein Emitter auf 0 liegt. Technische Grundlagen der Informatik 9-38

39 NAND-Gatter in TTL-Technik U P U P U P R 1 R 2 R 3 T 1 E 1 E 2 T 2 T 3 D A T 4 R 4 Höhere Schaltschwelle am Eingang von T2 durch Reihenschaltung zweier Basis- Emitterstrecken T2 und T4 in sog. Darlington-Split-Schaltung. T3 und T4 arbeiten als Gegentaktendstufe, d. h. T3 leitet und T4 sperrt oder umgekehrt. Technische Grundlagen der Informatik 9-39

40 Schottky-TTL-Technik Vermeiden der Sättigung durch Schottky-Clamp-Diode (Kollektorpotential sinkt mit wachsendem Basisstrom). Dadurch kürzere Schaltzeiten. Technische Grundlagen der Informatik 9-40

41 TTL-NAND-Gatter mit open Collector U P U P U P R 1 R 2 R L externer Widerstand T 1 E 1 E 2 T 2 A T 3 R 3 Durch externen Widerstand können mehrere Ausgänge zu einem wired-or zusammengeschaltet werden. Technische Grundlagen der Informatik 9-41

42 Anwendung z. B. für Busanforderung durch mehrere Teilnehmer U P A ADU & BUS S B BDU & C CDU & D DDU & Technische Grundlagen der Informatik 9-42

43 Tri-State-Logic U P R 1 R 2 U P R 4 U P Durch speziellen Steuereingang I = 1 kann die Schaltung in einen hochohmigen Ausgangszustand versetzt werden, ansonsten für I = 0 wird Eingang E invertiert. E T 1 T 2 D R 3 T 3 T 4 A BeiI=1wirdzwarAusgangAvonT1 her auf 1 angesteuert, über Diode D jedoch die Darlington-Schaltung aus T3 und T4 gesperrt. Damit sind beide Ausgangstransistoren T4, T5 gesperrt, d. h. hochohmiger Ausgangszustand. I T 6 R 5 T 5 Anwendung z. B. für Bustreiber. Aktiver Teilnehmer legt seine Pegel auf den Bus, die passiven Teilnehmer werden in den Tri-State-Zustand versetzt. Technische Grundlagen der Informatik 9-43

44 Emittergekoppelte Logik (ECL) ECL-Grundschaltung U P R 1 R 2 A 1 A 2 E E REF T 1 T 2 U A1 U E U REF I E U A2 Sättigung wird durch einen Differenzverstärker vermieden. U E =U REF : Strom I E aus der Stromquelle verteilt sich gleichmäßig auf beide Transistoren T1 und T2 (Voraussetzung R1 = R2) U E >U REF : T1 führt mehr Strom, T2 weniger Spannung an A1 sinkt, U E <U REF : Spannung an A2 steigt T2 führt mehr Strom, T1 weniger Spannung an A2 sinkt, Spannung an A1 steigt Schaltung kann daher mit Ausgang A1 als Inverter arbeiten, es liegen aber immer beide komplementären Ausgangspegel an A1 und A2 vor. Technische Grundlagen der Informatik 9-44

45 ODER-Gatter in ECL-Technik UP R1 R2 R3 T5 T1 T4 A E1 E2 T 2 T3 UREF D 1 D2 R4 R5 R6 R7 R8 Anstelle der Stromquelle wird Widerstand R6 eingesetzt. Referenzspannung U REF wird durch Dioden D1, D2 und Transistor T4 erzeugt. Ausgangssignal A wird über einen Emitterfolger T5 (Transistor in Kollektorschaltung) an R2 abgegriffen. T5 bewirkt Pegelanpassung (A < U P ) an nachfolgende Stufe und vermeidet Sättigung. Vorteil: Nachteil: kleine Schaltzeiten (1 nsec) hoher Stromverbrauch (es fließt immer Strom!), niedrige Integrationsdichte Technische Grundlagen der Informatik 9-45

46 MOS-Schaltkreise NOR-Gatter in NMOS-Technik T 1 T 3 T 2 U P A Kollektorwiderstand ist durch selbstleitenden Transistor T3 (Depletion-n-Kanal-Transistor) realisiert. PMOS analog. E 1 E 2 CMOS-Schaltkreise Kombination aus n-kanal und p-kanal-mos-transistoren, die stets komplementär arbeiten. Ein Transistor sperrt immer, wenn der andere leitet und umgekehrt. Stromverbrauch daher nur beim Pegelwechsel, nicht im Ruhezustand, d. h. insgesamt niedriger Stromverbrauch. Technische Grundlagen der Informatik 9-46

47 CMOS-Inverter E G G T 1 S D D S U P A E = 0: Negative Spannung U GS =-U p am Gate von p-kanal-transistor T1 T1 leitet Nullpotential am Gate von n-kanal- Transistor T2 T2 sperrt Ausgang: A = 1 E = 1: Positive Spannung U GS =U p am Gate von n-kanal-transistor T2 T2 leitet T 2 ( 1 ˆ U p,0 ˆ OV ) UGS = 0 für p-kanal-transistor T1 T1 sperrt Ausgang: A = 0 Technische Grundlagen der Informatik 9-47

48 CMOS-NAND-Gatter U P U P T 1 T 2 E 1 T 3 A Parallelschaltung von p-kanal-transistoren T1, T2 Serienschaltung von n-kanal-transistoren T3, T4 E 2 T 4 Technische Grundlagen der Informatik 9-48

49 CMOS-NOR-Gatter U P E 1 E 2 T 1 Serienschaltung von p-kanal- Transistoren T1, T2 T 2 A T 3 T 4 Parallelschaltung von n-kanal- Transistoren T3, T4 Technische Grundlagen der Informatik 9-49

50 9.3 Integrierte Schaltungen für digitale Systeme Integrierte Schaltungen Standard Anwenderspezifisch Anwenderprogrammierbar Anwender Teilentwicklung Fest vorgegeben Programmierbar Reprogrammierbar Gate-Arrays Standardzellen Voll Anwenderspezifisch Standardschaltungen - Entwickler sucht sich aus einem Spektrum angebotener Bausteine die passenden aus. - fest vorgegeben oder programmierbar (einmal oder mehrmals) Anwenderspezifische Schaltungen (ASICs = Application Specific Integrated Circuits) - Entwickler trägt einen Teil oder alles zur Entwicklung der Schaltung bei. - Gate Arrays: Hersteller fertigt Gatterstruktur, Entwickler legt Verbindungsstruktur fest. - Standardzellen: Bibliothek von Zellen, die der Entwickler mittels CAD-System zum Entwurf kombiniert. - voll anwenderspezifisch: Anwender entwickelt vollständige Schaltung. Technische Grundlagen der Informatik 9-50

51 Standardschaltungen Fest vorgegebene Schaltungen Beispiel: TTL-Bausteinfamilie ( NAND-Gatter) V CC V CC = 5 V DIL (Dual In Line) Package Heute meist Low-Power Schottky 74LSx oder CMOS (74HCx) 74er-Reihe: GND Praktisch alle Grundschaltungen in niedriger bis mittlerer Integrationsdichte verfügbar. Technische Grundlagen der Informatik 9-51

52 Anwenderprogrammierbare Schaltungen (PLDs - Programmable Logic Devices) PROM (Programmable Read Only Memory) Eingänge (Adresse) I1 I0 PROM-Struktur ODER-Matrix I1 I0 Wort 0 UND-Matrix Wort 1 Wort 2 entspricht Sicherung Wort 3 Adressdekoder O 1 O 2 Ausgänge Speichern der Wahrheitstafel Technische Grundlagen der Informatik 9-52

53 Sicherung (Fuse) vor und nach der Programmierung beim PROM Unprogrammed Fuse Programmed Fuse Technische Grundlagen der Informatik 9-53

54 SPLD (Simple Programmable Logic Device) Ähnlich PROM mit programmierbarer UND-Matrix, ODER-Matrix oder beidem. Darstellung: Normale Darstellung A B C D = A B C A B C PAL- PLD-Darstellung D = A B C Technische Grundlagen der Informatik 9-54

55 Beispiel: PAL (Programmable Array Logic) programmierbare UND-Matrix, feste ODER-Matrix I 0 I 1 I n UND - Matrix progammierbar ODER- Matrix fest O 0 O m Technische Grundlagen der Informatik 9-55

56 Realisierung einer einfachen logischen Funktion mit PAL A A B B A B Sicherung durchgebrannt Sicherung intakt A A B B A A B A B B Technische Grundlagen der Informatik 9-56

57 PAL mit Register zur Realisierung von Schaltwerken UND - Matrix Eingänge progammierbar Takt ODER - Matrix programmierbar fest Register Ausgänge Die Ausgänge der ODER-Matrix werden zum Zeitpunkt t in einem Register (taktflankengesteuerte Flipflops) gespeichert. Die linken Ausgänge des Registers werden auf die Eingänge der UND-Matrix gegeben und bestimmen zusammen mit den Eingängen des SPLDs den Folgezustand zum Zeitpunkt t + 1. Der rechte Teil des Registers enthält die Ausgaben des SPLDs. Der Zustand des Automaten ist damit in dem linken Teil des Registers gespeichert. Technische Grundlagen der Informatik 9-57

58 Reprogrammierbare Schaltungen EPROM (Erasable Programmable Read Only Memory) FAMOS-Transistoren (Floating Gate Avalanche Injection MOS) nicht kontaktiertes Gate Ucc Aluminium Dielektrikum SiO 2 p + -Si n-substrat Nicht kontaktiertes Gate kann durch hohe Spannung beim Programmieren geladen werden (Avalanche-Durchbruch) und hält dann die Ladung, die den MOS-Transistor öffnet oder sperrt. permanente Speicherung einer 0 oder 1 Löschen durch Bestrahlung mit UV-Licht (nur alle Zellen gemeinsam). Es gibt auch EEPROMS (Electrically Erasable PROMs), die elektrisch gelöscht werden können. Technische Grundlagen der Informatik 9-58

59 GAL (Generic Array Logic) Prinzipieller Aufbau wie PAL, aber reprogrammierbar A A B B +V +V +V +V Besonders für die Entwicklung (Prototyping) und die Ausbildung geeignet. Spezielles Programmiergerät erforderlich! Technische Grundlagen der Informatik 9-59

60 Beispiel: PAL/GAL 16V8 Bis zu 16 Pins, die als Inputs genutzt werden können. Bis zu 8 Pins, die als Output genutzt werden können. I/O Pins können als Input oder Output konfiguriert werden Makrozellen (OLMC Output Logic MacroCell) für konfigurierbare Ausgabelogik Input I/O PLCC Package (oder DIL) Technische Grundlagen der Informatik 9-60

61 OLMC im Simple Mode (Input/Output für Schaltnetze) Konfiguration über Fuses AC0, AC1 und XOR, SYN Rückkopplung (Feedback) für mehrstufige Schaltnetze Ausgabe reagiert sofort auf Eingabe (kein Takt) Ausgabepins können auch als Eingabepins konfiguriert werden Technische Grundlagen der Informatik 9-61

62 OLMC im Registered Mode (Input/Output für Schaltwerke) D-Flipflop für Zustand mit Rückkopplung in UND-Matrix, externer Takt (CLK) und Output Enable (OE) Schaltnetz-Ausgabe mit Output Enable Technische Grundlagen der Informatik 9-62

63 Schaltungsbeispiel: Mod-4 Zähler D D A B QA QB QA QB QA QB QA DECODE QB QA Technische Grundlagen der Informatik 9-63

64 CPLD (Complex Programmable Logic Device) In-System- Programmierung, d.h. kein Programmiergerät erforderlich, sondern Download der Schaltung über spezielle serielle Schnittstelle in das Chip möglich. Reprogrammierbare PLDs Aufbau aus mehreren PLD-Blöcken, die über eine programmierbare Verbindungsmatrix verbunden sind. Ein/Ausgabepins ebenfalls flexibel programmierbar (z. B. invertiert/nichtinvertiert, mit/ohne Latch) Technische Grundlagen der Informatik 9-64

65 Beispiel: Altera MAX7000 I/O control block I/O pins Logic array block General-purpose (LAB inputs A) Macrocell 1 PIA Logic array block (LAB B) Macrocell 1 I/O control block I/O pins 8Ð16 Macrocell Macrocell Macrocell 16 Macrocell Technische Grundlagen der Informatik 9-65

66 Makrozellen des MAX 7000 Maximal 5 UND-Terme, die über Expander erweitert werden können. Parallel expanders from other macrocells Product-term selection matrix Associated logic To I/O control block Shared expander A B C Expander example ABC(E + F)=ABCE + ABCF 36 lines from PIA 15 expander product terms from other macrocells Über Expander können Produktterme an andere Makrozellen weitergereicht werden. E + F EF Product term from another macrocell in same LAB Technische Grundlagen der Informatik 9-66

67 Einige Zellen besitzen Flipflops für Schaltwerke Parallel expanders from other macrocells Global clear Global clock MUX 5 From I/O Productterm selection matrix MUX 2 MUX 1 PRE D/T Q C EN CLR To I/O V CC MUX 3 Shared expander MUX 4 36 lines from PIA 15 expander product terms from other macrocells Technische Grundlagen der Informatik 9-67

68 FPGAs (Field Programmable Logic Arrays) Logikblöcke und E/A-Blöcke, die durch den Anwender mittels einstellbarer Verbindungsstrukturen verschaltet werden können. Einstellung durch Laden von außen in flüchtige Speicherzellen (Entwicklungsphase PC, später kleines ROM) Ein- / Ausgabeblock IOB Konfigurierbarer Logikblock LB Verbindungsbereich Technische Grundlagen der Informatik 9-68

69 Struktur eines typischen FPGA-Logikblockes Comb. Logic Meist Look-Up Table (LUT), mit der alle Schaltfunktionen realisierbar sind (Speichern der Wahrheitstafel) Technische Grundlagen der Informatik 9-69

70 Struktur eines typischen FPGA-E/A-Blockes Technische Grundlagen der Informatik 9-70

71 Beispiel: XILINX Virtex II CLB CLB PLL Segmented routing single lines Pass-transistor 66 MHz PCI SSTL3 M hex lines SelectI/O Pins hex lines Vector Based Interconnect delay=f(vector) Block SelectRAM Memory single lines Distributed SelectRAM Memory single lines single lines Technische Grundlagen der Informatik 9-71

72 CLB Frames as Atomic Configuration Units IOB Block-RAM Dynamische partielle Rekoniguration: Teile des FPGAs können während des Betriebs umkonfiguriert werden. (nur bei XILINX Virtex) Technische Grundlagen der Informatik 9-72

73 Vergleich verschiedener Typen programmierbarer Logikbausteine PAL/GAL Architektur CPLD Architektur FPGA Architektur Logik-Ressourcen Wenige und sehr einfache Ressourcen Komplexe Logik nur mit Hilfe von Feedbacks Wenige, komplexe Ressourcen Viele, sehr einfache Logikelemente Komplexer Logik erfordert viele Module Verbindungsaufbau Verdrahtungsmatrix Schaltmatrix Logik kann nur lokal genutzt werden (Routing) Geschwindigkeit Sehr schnell Langsam bei Einsatz von Feedbacks Schnell Abhängig von Verdrahtung und Platzierung Zeitliches Verhalten Vorhersagbar Vorhersagbar Nicht vorhersagbar!! Software PLD-Compiler Mapper / Fitter Place and Route, Simulation Technische Grundlagen der Informatik 9-73

74 Unterschiedliche Verdrahtung (Routing) bei FGPA und CPLD Technische Grundlagen der Informatik 9-74

75 Anwenderspezifische Schaltungen (ASICs - Application Specific Integrated Circuits) Gate Arrays Beispiel: NAND-Gatter Gatter, Flipflops etc. sind vorgegeben, Verbindungen werden im letzten Integrationsschritt hergestellt und können vom Anwender definiert werden. Technische Grundlagen der Informatik 9-75

76 Prinzipielle Struktur eines Gate-Arrays Technische Grundlagen der Informatik 9-76

77 Standardzellen Logische Blöcke (Zähler, ALUs, Register) vorgegeben, die der Anwender per Integrationsmaske verbinden lassen kann. Voll integrierte Schaltung (Full Custom Design) Anwender liefert komplettes Design. Flexibelste und schnellste, aber auch aufwendigste und teuerste Lösung. Technische Grundlagen der Informatik 9-77

78 9.4 Hilfsmittel für den Entwurf logischer Schaltungen (CAD = Computer-Aided Design) CAD-Systeme mit graphischen Benutzeroberflächen auf Workstations oder PCs. Enthalten neben schematischer oder textueller Eingabe i. Allg. leistungsfähige Simulatoren zum Austesten der Schaltung. Ausgabe liefert dann Daten zur Programmierung von Bausteinen oder für den Chipentwurf (z. B. Gate Arrays) beim Hersteller. Technische Grundlagen der Informatik 9-78

79 Beispiel: Entwurfswerkzeuge für SPLDs Entwurfs-Editor Schematischer Entwurf Logische Gleichungen Zustandsdiagramme Zeitdiagramme PLD- Bibliothek PLD- Compiler Logik- Simulator kein Fehler Fehler PLD- Programmierung Technische Grundlagen der Informatik 9-79

80 Werkzeugkette (Tool Chain) zum Entwurf von CPLDs und FPGAs Design entry Schematic HDL Synthesis Functional simulation Timing simulation Implementation HDL: Hardware Description Language Device programming (downloading) Technische Grundlagen der Informatik 9-80

81 Graphische Eingabe als Schaltplan (Schematic Entry) Technische Grundlagen der Informatik 9-81

82 Textuelle Eingabe z. B. in VHDL A S Q Q A LED1 B R Q QNot B C D LED1 <= ((D XOR C) XOR B) XOR A; entity S_RLatch is port (A, B: in bit; Q, QNot: inout bit); end entity S_RLatch; architecture Behavior of S_RLatch is begin Q <= not A or not QNot; QNot <= not B or not Q; end architecture Behavior; Technische Grundlagen der Informatik 9-82

83 Funktionelle Simulation Technische Grundlagen der Informatik 9-83

84 Synthese (Optimierung und Generierung der Netzliste) A0 A1 A2 A3 I1 I2 I3 I4 inv1 inv2 inv3 inv4 net1 net2 net3 net4 net6 net7 net9 net14 net17 net23 net8 net11 net12 net13 net16 net18 net19 net21 net22 net24 and1 net5 and2 net10 and3 net15 and4 net20 net25 and5 or1 net26 O1 Z Netlist (Logic3) net<name>: instance<name>, <from>; <to>; instances: and1, and2, and3, and4, and5, or1, inv2, inv3, inv4; Input/outputs: I1, I2, I3, I4, O1; net1: and1, inport1; I1; net2: and1, inport2; I2; net3: and1, inport3; I3; net4: and1, inport4; I4; net5: and1, outport1; or1, inport1; net6: and2, inport1; I1; net7: and2, inport2; I3; net8: and2, inport3; inv2,outport1 net9: and2, inport4; inv4,outport1 net10: and2, outport1; or1,inport2; net11: and3, inport1; inv2,outport1 net12: and3, inport2; inv3,outport1 net13: and3, inport3; I4; net14: and3, inport4; I1; 5: and3 Technische Grundlagen der Informatik 9-84

85 Implementierung Abbildung der Netzliste auf die Zielhardware Technische Grundlagen der Informatik 9-85

86 Analyse des Zeitverhaltens (Timing) mittels Simulation Waveform Editor Name: 1 s 4 s 8 s 12 s 16 s A0 A1 A2 A3 Z X Glitch Timing-Fehler (z. B. Glitches) können noch leicht behoben werden! Technische Grundlagen der Informatik 9-86

87 Herunterladen auf Zielhardware und Test Einsatz von Messinstrumenten (Impulsgenerator, Oszilloskop etc.) Technische Grundlagen der Informatik 9-87

88 9.5 Zusammenfassung Integrierte Schaltungen Fest vorgegebene Logik 74er Reihe: Standardchips niedriger Integrationsdichte (Gatter, Flipflops, Register, ALUs etc.), die zu komplexeren Schaltungen verdrahtet werden können. Technologie: bipolar (Low Power Schottky) oder CMOS. Anwenderprogrammierbare Logik (PLDs) SPLDs: Programmierbare Logikbausteine mit mittlerer Integrationsdichte zur Realisierung von Schaltnetzen oder einfachen Schaltwerken (Mooreoder Mealy-Automaten). Technologie: meist CMOS. Einfache CAD- Entwurfswerkzeuge und separate Programmiergeräte. Einmal- und mehrfachprogrammierbare Varianten (PALs bzw. GALs). 74er-Reihe und PAL/GALs heute nur noch für sehr einfache Anwendungen und als Ergänzung zu höher integrierten Chips von Bedeutung. Technische Grundlagen der Informatik 9-88

89 CPLDs: Reprogrammierbare Logikbausteine höherer Integrationsdichte aus mehreren SPLDs plus programmierbarer Verdrahtung. Technologie: CMOS. Im System programmierbar und nichtflüchtig. Ausgefeilte CAD-Werkzeuge (meist VHDL-basiert). Für komplexere Schaltwerke geeignet. FPGAs: Reprogrammierbare Logikbausteine hoher bis sehr hoher Integrationsdichte aus Zellen plus programmierbaren Verbindungsstrukturen. Technologie: CMOS. Im System programmierbar, aber flüchtig (Booten von PROM). Ausgefeilte CAD-Werkzeuge (meist VHDL-basiert). Für hochkomplexe Schaltungen geeignet bis hin zu SoCs (System on Chip) mit Prozessorkernen, Speichern, Peripherie etc. Einsatz von PLDs heute hauptsächlich bei kleineren Stückzahlen und Prototypen oder Anwendungen, die häufig aktualisiert werden müssen. Technische Grundlagen der Informatik 9-89

90 Anwenderspezifische integrierte Schaltungen (ASICs) Gate Arrays: Vorgegebene Gatter, die anwenderspezifisch verdrahtet werden. Technologie: meist CMOS. Komplexe CAD-Werkzeuge. Standardzellen: Wie Gate Arrays, aber komplexere vorgegebene logische Blöcke wie Register, ALUs etc. Full Custom Design: voll kundenspezifisch bis auf Transistorebene. ASIC-Entwurf sehr aufwendig, langwierig und teuer. Daher nur für sehr große Stückzahlen geeignet, besonders Full Custom Design. Trend zu programmierbarer Logik, insbesondere FPGAs. Rekonfigurierbares Rechnen durch dynamische Rekonfiguration als Ergänzung/Alternative zu herkömmlichen Prozessoren. Technische Grundlagen der Informatik 9-90

91 9.6 Speicher Speicherhierarchie - prozessorinterne Register (geringe Kapazität, Zugriffszeit ns-bereich und kleiner) - Hauptspeicher (Primärspeicher) (mittlere Kapazität, Zugriffszeit Zig-ns-Bereich) - Hintergrundspeicher (Sekundärspeicher) (große Kapazität, Zugriffszeit ms und größer) Technische Grundlagen der Informatik 9-91

92 Zeitverhalten (Timing) Zugriffszeit t ac : Zykluszeit t cycle : Zeit vom Anlegen der Adresse bis zur Gültigkeit der gelesenen Daten. Zeit vom Anlegen der Adresse bis zum möglichen Anlegen der nächsten Adresse. Beispiel: Zeitdiagramm eines Lesezyklus Hinweis: Bei manchen Speicherarten ist die Zykluszeit länger als die Zugriffszeit (z.b. DRAMs) Technische Grundlagen der Informatik 9-92

93 Zugriffsarten Wahlfreier Zugriff Storage cell array Speicher mit wahlfreiem Zugriff, RAM (Random Access Memory) Row address decoder Auf die Speicherelemente kann in beliebiger Reihenfolge zugegriffen werden. Zugriffszeit und Zykluszeit sind konstant und unabhängig von der Adresse. Address buffer X m x m Y m y Column address decoder Typische Realisierungsformen für Speicherelemente: Flipflops, Ladungsspeicher (Kondensatoren), früher auch Magnete (Kernspeicher) Address bus Technische Grundlagen der Informatik 9-93

94 Serieller Zugriff Speicher mit seriellem Zugriff Zugriffszeit und Zykluszeit sind von der Adresse abhängig. Typische Realisierungsformen: Magnetband, Magnetplatte, optische Platte, früher auch Lochstreifen Technische Grundlagen der Informatik 9-94

95 9.6.1 Prozessorinterne Register Realisierung mittels Flipflops in gleicher Technologie wie die zugehörigen Gatter für Schaltnetze. Prinzipiell auf Basis von rückgekoppelten NAND- bzw. NOR-Gattern implementierbar. + + Beispiel: RS- FF in RTL- Technologie Q R C R 3 R 1 R C Q T 1 T 2 R 2 R 4 S R In manchen Technologien (z. B. CMOS) auch optimierte Implementierungen vor allem für D-Flipflops. Technische Grundlagen der Informatik 9-95

96 Verwandte Schaltung: Rechteckgenerator + R C R R R C Q C C Q T 1 T 2 f 1 f 1 2 R C 2ln 2 R C Entsteht aus Flipflop (bistabiler Multivibrator) durch Kondensatoren in den Rückkopplungszweigen, die sich auf- und entladen. Schaltung wird dadurch astabil und pendelt zwischen beiden Zuständen hin und her (astabiler Multivibrator). Die Frequenz wird durch die Größen von R und C bestimmt. Kann als Taktgenerator (Clock Generator) verwendet werden. In der Praxis meist noch Schwingquarze zur Frequenzstabilisierung. Technische Grundlagen der Informatik 9-96

97 9.6.2 Hauptspeicher (Primärspeicher) RAM- Speicher auf Halbleiterbasis Memory -cell array C 0,0 C 0,1 Vb Vc Power 2D-Feld von 1-Bit Speicherzellen C 1,0 C 1,1 Adress- Dekoder (1-aus-n) 1/4 address decoder C 2,0 C 2,1 Adressdekoder wählt jeweils eine Zeile aus, die parallel geschrieben oder gelesen wird C 3,0 C 3,1 Kontrollsignale: A0 A1 CE CS WE X0 X1 Z0 Z1 Address Control Data Data lines input output CS: Chip Select CS=1 Chip aktiviert CS=0 Chip deaktiviert WE: Write Enable WE=1: Write WE=0: Read Technische Grundlagen der Informatik 9-97

98 RAM-Speichertypen Bits stored in a semiconductor latch or flip-flop Random- Access Memory (RAM) Bits stored as charge on a capacitor Static RAM (SRAM) Dynamic RAM (DRAM) Asynchronous SRAM (ASRAM) Synchronous SRAM with burst feature (SB SRAM) Fast Page Mode DRAM (FPM DRAM) Extended Data Out DRAM (EDO DRAM) Burst EDO DRAM (BEDO DRAM) Synchronous DRAM (SDRAM) Technische Grundlagen der Informatik 9-98

99 Statischer Speicher (SRAM) Row Select 0 Row Select 1 Flipflop als Speicherzelle Row Select 2 Memory cell Flüchtiger Speicher Row Select n (d. h. Inhalt geht beim Ausschalten verloren)! Data Input/Output Buffers and Control Data I/O Bit 0 Data I/O Bit 1 Data I/O Bit 2 Data I/O Bit 3 Technische Grundlagen der Informatik 9-99

100 SRAM-Speicherzelle Flipflop aus rückgekoppelten Invertern und Transistoren zur Ansteuerung Select = 1 Bit Bit Schreiben: Select-Leitung (vom Adressdekoder) schaltet beide Tranistoren durch. Wert auf Bit- bzw. Bit-Leitung (Datenleitungen) wird in Flipflop übernommen. Lesen: Bit-Leitung und Bit-Leitung werden auf 1 voreingestellt (Precharging). Impuls auf Select-Leitung schaltet beide Transistoren durch und erzeugt Impuls auf Bit- Leitung bei gespeicherter 0 bzw. Bit-Leitung, bei gespeicherter 1 (zerstörungsfreies Lesen). Technische Grundlagen der Informatik 9-100

101 Beispiel für eine SRAM-Zelle mit 6 CMOS-Transistoren Technische Grundlagen der Informatik 9-101

102 Asynchrones SRAM Lesezyklus: Adresse liegt auf Adressbus CS (Chip Select) ist LOW OE (Output Enable) ist LOW Daten werden auf Datenbus ausgelesen Address lines Row decoder Memory array 256 rows x 128 columns x 8 bits Schreibzyklus: Adresse liegt auf Adressbus CS (Chip Select) ist LOW WE (Write Enable) ist LOW Daten liegen auf dem Datenbus I/O 0 Input data control Column I/O Column decoder Output data I/O 7 SB SRAM Synchronisation mit Prozessortakt und Zugriff auf mehrere aufeinanderfolgender Wörter nach Anlegen einer einzigen Adresse (Burst), typ. 4 Worte CS WE OE G 1 G 2 Address lines Technische Grundlagen der Informatik 9-102

103 Dynamischer Speicher (DRAM) Beispiel für eine DRAM-Zelle Address line T C Kondensatoren C als Speicherzellen Schreiben: 1 auf Adressleitung schaltet Transistor durch und lädt C bei 1 auf Datenleitung auf bzw. entlädt C bei 0. Lesen: 1 auf Adressleitung schaltet Transistor durch. Wenn C geladen war (1 gespeichert), fließt ein Strom über die Datenleitung, der vom Leseverstärker detektiert wird, bei ungeladenem C kein Strom (0 gespeichert). Data line D Ground Wesentlich einfacher als SRAM-Zelle, daher höhere Integrationsdichte und damit größere Kapazität pro Chip. Aber deutlich langsamer als SRAM! C wird beim Lesen entladen und muss zurückgeschrieben werden! C verliert mit der Zeit seine Ladung und muss in regelmäßigen Abständen wiederaufgefrischt werden (Refresh). Technische Grundlagen der Informatik 9-103

104 Aufbau dynamischer Speicher (DRAMs) Multiplexen von Adressleitungen Beispiel: DRAM mit Organisation 2 20 x 1 Addresses RAS CAS Refresh counter Refresh control and timing Flüchtiger Speicher! Row address is latched when RAS is LOW Column address is latched when CAS is LOW Address lines Nach Anlegen der Zeilenadresse (Row Address) wird eine ganze Zeile in den I/O-Puffer ausgelesen, danach mit Spaltenadresse (Column Adress) ein Bit ausgewählt und gelesen bzw. geschrieben. Dann Rückschreiben der ganzen Zeile in das Speicherfeld. A0/A10 A 1/A 11 A 2/A 12 A 3/A 13 A 4 /A 14 A 5/A 15 A 6/A 16 A 7 /A 17 A8/A18 A9/A19 Row address latch Column address latch Data selector Row decoder Column decoder Memory array 1024 rows 1024 columns Input/Output buffers and Sense amplifier 1024 D OUT D IN Refresh-Logik zum zeilenweisen Wiederauffrischen des Speicherinhalts (msec-bereich). CAS RAS 1024 R/W E Technische Grundlagen der Informatik 9-104

105 Varianten von DRAMs Fast Page Mode (FPM DRAM) Sukzessive Read/Write-Operationen von Spaltenadressen, die in der gleichen Zeile liegen. RAS CAS R/W Addresses Row address Column 1 address Column 2 address Column 3 address Column n address D OUT Valid data Valid data Valid data Valid data Mit Zeilenadresse wird gleich eine gesamte Zeile ausgelesen. Anschließend kann nur durch Anlegen der Spaltenadresse auf die Daten innerhalb der Zeile ( Page ) zugegriffen werden, ohne Zeileadresse erneut anlegen zu müssen. => Schnellerer Zugriff auf benachbarte Daten innerhalb einer Page Technische Grundlagen der Informatik 9-105

106 Weitere Varianten für schnelleren Zugriff EDO DRAM BEDO DRAM Extended Data Output DRAM: wie FPM DRAM, aber mit erneutem Lesen, auch wenn vorheriges Datum noch nicht abgeholt wurde. Burst Extended Data Output DRAM: wie EDO, aber unterstützt zusätzlich Bursts (siehe SB SRAM). SDRAMS DDR-SDRAM Synchonous DRAM: wie bei SB SRAM Synchronisation mit Prozessortakt und Burst Mode. Double Data Rate SDRAM: wie SDRAM, aber mit doppelter Datenrate durch Nutzung der aufsteigenden und abfallenden Taktflanke. DRAMs heute Standard als Hauptspeicher bei PCs und Servern, SRAMs bei kleinen schnellen Speichern wie z. B. Caches Technische Grundlagen der Informatik 9-106

107 Nur-Lesespeicher (Read-Only Memory ROM) Read-Only Memory (ROM) Mask ROM Programmable ROM (PROM) Erasable PROM (EPROM) Ultraviolet EPROM (UV EPROM) Electrically Erasable PROM (EEPROM) Nichtflüchtige Speicher, die während des Betriebs nur gelesen werden können. Programmierung erfolgt offline. Varianten mit und ohne Löschmöglichkeit. Speichern von Programmen und Tabellen (z. B. Boot-ROM zum Urladen von Betriebssystemen), zum Laden von FPGAs oder zur Realisierung von Schaltfunktionen. Technische Grundlagen der Informatik 9-107

108 Ausführungsformen von Nur-Lese-Speichern ROM PROM EPROM Read Only Memory Speicherbaustein kann nur gelesen, aber nicht beschrieben werden. Einmalige Programmierung, z. B. durch Masken bei der Chip-Herstellung. Programmable Read Only Memory Speicherbaustein kann einmal beschrieben (programmiert), danach jedoch nur noch gelesen werden. Programmierung z. B. durch Durchbrennen von Sicherungen (Fuses) mit hoher Spannung. Erasable Programmable Read Only Memory PROM, welches als Ganzes nach dem Programmieren wieder gelöscht und danach erneut programmiert werden kann (Löschen erfolgt durch Bestrahlung mit UV-Licht). Realisierung mittels Floating Gate MOS-Transistoren. EEPROM Electrically Erasable Programmable ROM EPROM, welches durch Anlegen einer Löschspannung gelöscht werden kann (keine UV-Bestrahlung mehr erforderlich). Kann im System programmiert werden. Technische Grundlagen der Informatik 9-108

109 Flash-Speicher Flash-Speicherzelle Floating gate Control gate Drain MOS transistor symbol Nichtflüchtig! Durch 1-Transistorzelle sehr hohe Packungsdichte. Langsamer als DRAM vor allem beim Schreiben Source logic 0 is stored logic 1 is stored Anwendung wie ROM und als Sekundärspeicher (USB-Stick) Daten werden durch Ladung eines Floating Gate gespeichert (quantenmechanische Effekte). Schreiben: Durch hohe Spannung auf Control Gate wird Floating Gate geladen ( 0 ) oder nicht ( 1 ). Lesen: Selektion durch normale Spannung am Control Gate. Es fließt entweder ein Strom durch den Transistor ( 0 gespeichert) oder nicht ( 1 gespeichert). Löschen: Entfernen der Ladung vom Control Gate nur blockweise möglich. Voraussetzung für Wiederbeschreiben => Schreiben deutlich langsamer als Lesen! Technische Grundlagen der Informatik 9-109

110 Organisationsformen von RAM-Speichern RAM. RAM-Chips besitzen Organisation 2 m x n mit n = 1, 2, 4 oder 8 Address bus m bits RAM 2 m 2n m bits RAM 1 2 m n m bits RAM 2 2 m n Data in/out n bits Data in/out n bits Control bus 2n bits Data bus Größere Wortlängen durch Parallelschalten von RAM-Chips: Gemeinsamer Adress- und Kontrollbus, separater Datenbus Technische Grundlagen der Informatik 9-110

111 RAM 2M 8 Address bus 21 bits 20 bits RAM 1 1M 8 EN 8 bits Control bus 20 bits RAM 2 1M 8 8 bits Data bus EN 8 bits Größere Speicherkapazität durch Hintereinanderschalten von RAM-Chips: Gleicher Datenbus (meist Tristate) und Kontrollbus, Selektion von jeweils einem Chip durch höchstwertige Adressbits Andere Speicherarten (ROM, EPROM, Flash) etc. ganz entsprechend)! Technische Grundlagen der Informatik 9-111

112 Bauformen von RAM-Speichern Heute oft als Speicherriegel mit 2 m x 1 Chips und Wortlänge von 8 Bit (Byte-Adressierung) 1 Byte Wortlänge SIMM or DIMM Socket on system board SIMM: Single In-Line Memory Module (einseitig bestückt) DIMM: Dual In-Line Memory Module (beidseitig bestückt) Eventuell zusätzliche Chips für Paritätsbits zur Fehlererkennung oder -korrektur. Technische Grundlagen der Informatik 9-112

113 9.6.3 Magnetschichtspeicher Serielle Datenspeicherung durch Magnetisierung einer Magnetschicht in entgegengesetzten Richtungen zur Speicherung von 0 bzw. 1. Speicherung auch ohne Versorgungsspannung (nichtflüchtig) Technische Grundlagen der Informatik 9-113

114 Magnetbänder hohe Speicherkapazität (GByte- oder TByte-Bereich) Zugriffszeiten: Sekunden bis Minuten Historische Bandgeräte (bis ca. 80er Jahre) Technische Grundlagen der Informatik 9-114

115 Bandarchiv mit Roboter Bandkasette Einsatz zur Datensicherung und Archivierung großer Datenmengen. Technische Grundlagen der Informatik 9-115

116 Hard-Disks (Festplatten) Speicherkapazität bis zu einigen hundert GByte Zugriffszeit: 1 bis 10 ms-bereich Kreisförmige Magnetspuren, auf die über Bewegliche Schreib/Leseköpfe zugegriffen wird. I. Allg. mehrere Platten pro Platteneinheit (Disk Drive) mit eigenen Schreib/Leseköpfen. Platten sind in Spuren (Tracks) eingeteilt, diese wieder in Sektoren (Sectors). Menge der Spuren in gleicher radialer Position werden Zylinder (Cylinder) genannt. Ansteuerung durch Platten-Kontrolleinheit, die (Standard-)Schnittstelle zum Prozessor enthält. Technische Grundlagen der Informatik 9-116

117 Heute meist Winchester-Disks in geschlossenen Gehäusen Spindle Platters Standard-Sekundärspeicher in PCs, Notebooks und Servern Actuator arms Read/Write heads Case Trend: Flash-Speicher ( Solid State Disks ) beginnen Magnetplatten zu verdrängen! Floppy-Disk Speicherkapazität im 1 MByte-Bereich Zugriffszeiten: 100 ms bis 1 s Weicher wechselbarer Plattenspeicher Heute durch USB-Sticks mit Flash-Speicher abgelöst! Technische Grundlagen der Informatik 9-117

118 9.6.4 Optische Speicher Aufzeichnungsprinzip optischer Speicherelemente Mittels Laser werden verschiedenartig reflektierende Bereiche (Pit und Land) abgetastet und damit eine Folge von Nullen und Einsen gelesen. Übergang 0/1 und 1/0 entspricht 1, Land oder Pit einer Folge von Nullen. Verwendung von fehlerkorrigierenden Codes (ECC Error Correcting Code) zur Erhöhung der Datensicherheit. Technische Grundlagen der Informatik 9-118

119 CD (Compact Disk) ROM Datenspeicher auf Audio-CD-Basis, Nur-Lese-Speicher Speicherkapazität bis zu ca. 1 GByte-Bereich Zugriffszeit im 100 ms bis Sekundenbereich Auch schreib- und wiederbeschreibbare Varianten (mit Laser) DVDs (Digital Versatile Disks) (ca. 10 GByte) Kurzwelligerer Laser, daher feinere Strukturen. Blue-Ray (25-50 GB) Blauer Laser mit sehr kurzer Wellenlänge. Anwendung optischer Speicher als Wechselspeicher z. B. für Programme oder Multimedia-Daten (Texte, Bilder, Audio, Video etc.) Technische Grundlagen der Informatik 9-119

120 9.7 Ausblick: Mikrocontroller-Platinen (Boards) Beispiel: Ethernut 2.3 (Fa. Egnite Open Source) ATmega128 RISC microcontroller (14 MHz) Full duplex 10/100 Mbps Ethernet controller Two serial ports, RS-232 half duplex RS KByte Flash ROM and 512 KByte serial Dataflash 4 KByte in-system programmable EEPROM 32 KByte SRAM plus 480 KByte banked SRAM Up to 28 programmable digital I/O lines 8-channel, 10-bit analog/digital converter Two 8-bit and two 16-bit timer/counters Watchdog timer for enhanced reliability LED indicators for power supply and Ethernet activity Single power supply 9-12V DC Technische Grundlagen der Informatik 9-120

121 Blockschaltbild SMD-Technik (Surface Mounted Devices), d. h. Chips werden direkt auf Platine von oben aufgelötet (keine Löcher für Pins!). Hochintegrierte Chips: Mikrocontroller, Speicher (Flash und SRAM), Ethernet Controller CPLD: Memory Controller Niedrig integrierte Chips: Serielle Schnittstellen (RS 232, RS485), Spannungsregler Glue Logic (aus TTL-Familie in CMOS) in Miniatur SMD-Gehäusen Diskrete Bauelemente: Widerstände, Leuchdioden (LEDs), Kondensatoren zur Spannungspufferung, Quarze für Takterzeugung in Miniatur-SMD-Ausführung Technische Grundlagen der Informatik 9-121

122 Schaltplan (Ausschnitt) RS232 Mikrocontroller RS485 Quarz Programmierschnittstelle Technische Grundlagen der Informatik 9-122

123 Glue Logic RAM Flash CPLD Stecker Technische Grundlagen der Informatik 9-123

124 Anwendungen Networked sensors Remote monitoring equipment Alarm service providing Remote diagnose and service Industrial Ethernet applications Home and building control Mobile Robotics Beispiel: Unterwasserroboter Monsun (ITI) Trend: Immer höherer Leistung und immer mehr Funktionen auf einem Chip integriert System-on-Chip (SoC) Technische Grundlagen der Informatik 9-124

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme SSDs und Flash Memory Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme Seite 2 Inhalt Motivation Aufbau und Funktionsweise NAND vs NOR SLC vs MLC Speicherorganisation Vergleich mit konventionellen

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 3 Manuel Schwarz Matrikelnr.: 207XXX Pascal Hahulla Matrikelnr.: 207XXX Thema: Transistorschaltungen

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Speicher (1) zur Realisierung eines Rechnerspeichers benötigt man eine Materie mit physikalischen Eigenschaften, die

Speicher (1) zur Realisierung eines Rechnerspeichers benötigt man eine Materie mit physikalischen Eigenschaften, die Speicher (1) Definition: Speichern ist die kurz- oder langfristige Änderung einer oder mehrerer physikalischer Eigenschaften einer Materie durch ein externes Ereignis. zur Realisierung eines Rechnerspeichers

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4 1. Kennlinien Der Transistor BC550C soll auf den Arbeitspunkt U CE = 4 V und I C = 15 ma eingestellt werden. a) Bestimmen Sie aus den Kennlinien (S. 2) die Werte für I B, B, U BE. b) Woher kommt die Neigung

Mehr

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1 Fachhochschule Gießen-Friedberg,Fachbereich Elektrotechnik 1 Elektronik-Praktikum Versuch 24: Astabile, monostabile und bistabile Kippschaltungen mit diskreten Bauelementen 1 Allgemeines Alle in diesem

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Spannungen und Ströme

Spannungen und Ströme niversität Koblenz Landau Name:..... Institut für Physik orname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... Spannungen und Ströme ersuch Nr. 1 orkenntnisse: Stromkreis, Knotenregel, Maschenregel,

Mehr

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs in Zukunft Richard Roth / FB Informatik und Mathematik Speicher 2 DRAM Speicherzelle (Trench Technology)

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 10 AM 01./02.07.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V Kojak-Sirene: Experimente zur Funktionsweise 1. astabile Kippstufe 2. astabile Kippstufe Die Schaltung der Kojak-Sirene besteht aus zwei miteinander verbundenen astabilen Kippstufen (Anhang) und einem

Mehr

Kleinsignalverhalten von Feldeffekttransistoren 1 Theoretische Grundlagen

Kleinsignalverhalten von Feldeffekttransistoren 1 Theoretische Grundlagen Dr.-Ing. G. Strassacker STRASSACKER lautsprechershop.de Kleinsignalverhalten von Feldeffekttransistoren 1 Theoretische Grundlagen 1.1 Übersicht Fets sind Halbleiter, die nicht wie bipolare Transistoren

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Fachbereich Medienproduktion

Fachbereich Medienproduktion Fachbereich Medienproduktion Herzlich willkommen zur Vorlesung im Studienfach: Grundlagen der Informatik Themenübersicht Rechnertechnik und IT Sicherheit Grundlagen der Rechnertechnik Prozessorarchitekturen

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRATIUM Versuch T-1 ontaktlogik Fachbereich Informatik Universität aiserslautern eite 2 Versuch T-1 Versuch T-1 Vorbemerkungen chaltnetze lassen sich in drei lassen einteilen: 1. chaltnetze vom

Mehr

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1 9. SPEICHER UND CACHE (c) Peter Sturm, University of Trier 1 Inhalt Grundlagen Speichertypen RAM / ROM Dynamisches RAM Cache- Speicher Voll AssoziaNv n- Wege AssoziaNv Direct Mapping Beispiel: 8 Bit- Register

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Vorüberlegung In einem seriellen Stromkreis addieren sich die Teilspannungen zur Gesamtspannung Bei einer Gesamtspannung U ges, der

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Von Bits, Bytes und Raid

Von Bits, Bytes und Raid Von Bits, Bytes und Raid Eine Schnuppervorlesung zum Kennenlernen eines Datenspeichers um Bits und Bytes zu unterscheiden um Raid-Festplattensysteme zu verstehen Inhalt Speicherzellen sind elektronische

Mehr

Klassifizierung der Halbleiterspeicher

Klassifizierung der Halbleiterspeicher Klassifizierung der Halbleiterspeicher Halbleiterspeicher nicht flüchtig flüchtig AM nicht löschbar OM POM löschbar EPOM EEPOM statisch AM dynamisch AM abei bedeuten die Abürzungen: OM AM POM EPOM EEPOM

Mehr

Inbetriebnahme des Willem Programmers PCB5-E. Die Software GQUSBprg 0.98d6 Willem Enhanced / Dual Power Programmer PCB5.

Inbetriebnahme des Willem Programmers PCB5-E. Die Software GQUSBprg 0.98d6 Willem Enhanced / Dual Power Programmer PCB5. Inbetriebnahme des Willem Programmers PCB5-E. Die Software GQUSBprg 0.98d6 Willem Enhanced / Dual Power Programmer PCB5.0E von der Website http://www.zeitech.de/index.php?s=36& enthält die Datei 11.02.2011

Mehr

F. Technologische Grundlagen

F. Technologische Grundlagen F. Technologische Grundlagen F.1. Einordnung Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

JFET MESFET: Eine Einführung

JFET MESFET: Eine Einführung JFET MESFET: Eine Einführung Diese Präsentation soll eine Einführung in den am einfachsten aufgebauten Feldeffektransistor, den Sperrschicht-Feldeffekttransistor (SFET, JFET bzw. non-insulated-gate-fet,

Mehr

Grundlagen der Datenverarbeitung

Grundlagen der Datenverarbeitung Grundlagen der Datenverarbeitung Bauelemente Mag. Christian Gürtler 5. Oktober 2014 Mag. Christian Gürtler Grundlagen der Datenverarbeitung 5. Oktober 2014 1 / 34 Inhaltsverzeichnis I 1 Einleitung 2 Halbleiter

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

B Hauptspeicher und Cache

B Hauptspeicher und Cache und Cache 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher 7. Cache 1 und Cache Einordnung in das Schichtenmodell:

Mehr

B Hauptspeicher und Cache

B Hauptspeicher und Cache und Cache und Cache Einordnung in das Schichtenmodell: 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter Labor Mikroelektronik Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich Versuch 2: CMOS-Inverter Stand: 19.4.2010 1 Aufgabenstellung Sie sollen in diesem Versuch einen

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Computer: PC. Informationstechnik für Luft-und Raumfahrt Aerospace Information Technology

Computer: PC. Informationstechnik für Luft-und Raumfahrt Aerospace Information Technology Computer: PC Informationstechnik für Luft-und Raumfahrt Ab Morgen nur eingebete Systeme Aber es gibt auch PCs Na gut... dann Heute. dann haben wir es hinter uns Und nicht wenige! PCs in N Jahren Industrie

Mehr

Select & Preprocessing Cluster. SPP Server #1. SPP Server #2. Cluster InterConnection. SPP Server #n

Select & Preprocessing Cluster. SPP Server #1. SPP Server #2. Cluster InterConnection. SPP Server #n C5000 High Performance Acquisition System Das C5000 System wurde für Messerfassungs- und Auswertungssystem mit sehr hohem Datenaufkommen konzipiert. Typische Applikationen für das C5000 sind große Prüfstände,

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM RAMs (Random Access Memory) - Schreib-Lese-Speicher RAMs sind Speicher mit der Aufgabe, binäre Daten für eine bestimmte Zeit zu speichern. Diese

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Elektrische Spannung und Stromstärke

Elektrische Spannung und Stromstärke Elektrische Spannung und Stromstärke Elektrische Spannung 1 Elektrische Spannung U Die elektrische Spannung U gibt den Unterschied der Ladungen zwischen zwei Polen an. Spannungsquellen besitzen immer zwei

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

Rechnerstrukturen. 5. Speicher. Inhalt. Vorlesung Rechnerstrukturen Wintersemester 2002/03. (c) Peter Sturm, Universität Trier 1.

Rechnerstrukturen. 5. Speicher. Inhalt. Vorlesung Rechnerstrukturen Wintersemester 2002/03. (c) Peter Sturm, Universität Trier 1. Rechnerstrukturen 5. Speicher 5.1 Motivation Speichertypen RAM / ROM Dynamisches RAM Inhalt Cache-Speicher Voll Assoziativ n-wege Assoziativ Direct Mapping 5.2 (c) Peter Sturm, Universität Trier 1 Der

Mehr

Rechnerorganisation. 1. Juni 201 KC Posch

Rechnerorganisation. 1. Juni 201 KC Posch .6.2 Rechnerorganisation. Juni 2 KC Posch .6.2 2 .6.2 Front Side Bus Accelerated Graphics Port 28 MHz Front Side Bus North Bridge RAM idge South Bri IDE USB PCI Bus 3 .6.2 Front Side Bus Front Side Bus

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

E Hauptspeicher und Cache

E Hauptspeicher und Cache und Cache 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher 7. Cache 1 und Cache Einordnung in das Schichtenmodell:

Mehr

E Hauptspeicher und Cache

E Hauptspeicher und Cache und Cache und Cache Einordnung in das Schichtenmodell: 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Erweiterung von Adressraum und Bit Tiefe

Erweiterung von Adressraum und Bit Tiefe Erweiterung von Adressraum und Bit Tiefe Erweiterung des vorigen Beispiels ist offensichtlich: Vergrößerung des Adressraums (in der Größenordnung 2 n ): Füge eine Adressleitung hinzu und verdoppele die

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

ATMega2560Controllerboard

ATMega2560Controllerboard RIBU ELEKTRONIK VERSAND Mühlenweg 6. 8160 Preding. Tel. 017/64800. Fax 64806 Mail: office1@ribu.at. Internet: http://www.ribu.at ATMega560Controllerboard nur 66 x 40 mm große 4 fach Multilayer Platine

Mehr

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice easyident Türöffner Art. Nr. FS-0007 Wir freuen uns, das sie sich für unser Produkt easyident Türöffner, mit Transponder Technologie entschieden haben. Easyident Türöffner ist für Unterputzmontage in 55mm

Mehr

Halbleiterphysik und Anwendungen Vorlesungsplanung Teil 10: Speicherbauelemente Prof. Dr. Sven Ingebrandt

Halbleiterphysik und Anwendungen Vorlesungsplanung Teil 10: Speicherbauelemente Prof. Dr. Sven Ingebrandt Halbleiterphysik und Anwendungen Teil 10: Speicherbauelemente Prof. Dr. Sven Ingebrandt Fachhochschule Kaiserslautern - Standort Zweibrücken www.hs-kl.de Vorlesungsplanung Grün: Termine, die ausfallen

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Die allerwichtigsten Raid Systeme

Die allerwichtigsten Raid Systeme Die allerwichtigsten Raid Systeme Michael Dienert 4. Mai 2009 Vorbemerkung Dieser Artikel gibt eine knappe Übersicht über die wichtigsten RAID Systeme. Inhaltsverzeichnis 1 Die Abkürzung RAID 2 1.1 Fehlerraten

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

Software ubiquitärer Systeme

Software ubiquitärer Systeme Software ubiquitärer Systeme Übung 2: Speicherarchitekturen in Mikrocontrollern und AOStuBS Christoph Borchert Arbeitsgruppe Eingebettete Systemsoftware Lehrstuhl für Informatik 12 TU Dortmund http://ess.cs.uni-dortmund.de/~chb/

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Themen heute Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Besprechung des 7. Übungsblattes Aufgabe 4a Der eigentliche Sprung erfolgt in der MEM-Phase (4. Pipeline-Stufe),

Mehr

32-Bit Microcontroller based, passive and intelligent UHF RFID Gen2 Tag. Zürcher Fachhochschule

32-Bit Microcontroller based, passive and intelligent UHF RFID Gen2 Tag. Zürcher Fachhochschule 32-Bit Microcontroller based, passive and intelligent UHF RFID Gen2 Tag Inhalt Vorgeschichte Was wurde erreicht Hardware Energy Micro Microcontroller µctag Plattform EPC Gen2 Tag Standard Protokoll-Vorgaben

Mehr