Anleitung. Mentor Graphics ModelSim SE 6.3j XILINX ISE Designflow. 1. Project Configuration Folder/Sources. 2. Functional Simulation

Größe: px
Ab Seite anzeigen:

Download "Anleitung. Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4. Designflow. 1. Project Configuration Folder/Sources. 2. Functional Simulation"

Transkript

1 Anleitung Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4 Designflow ModelSim ISE (XILINX) 1. Project Configuration Folder/Sources 2. Functional Simulation 3.1 Project Configuration Folder/Device/Sources 3.2. Synthesize - XST 3.3. Implement Design 4. Timing Simulation 5. Generate Programming File FPGA ~.bit CPLD ~.jed 6. Configure Device ( impact)

2 Inhaltsverzeichnis 1.Grundsätzliche Vorgaben Vorgeschriebenes Arbeitsverzeichnis Verzeichnis- / Projektstruktur ModelSim - Funktionale Simulation ModelSim - Projekterstellung ModelSim - Compilierung ModelSim - Simulation ISE ISE Projekterstellung Erstellen eines neuen Design Files Einbinden eines bestehenden Design Files ISE Synthese ISE Synthes für CPLD ISE - Synthese für FPGA ISE - Implementierung ISE - Implementierung für CPLD ISE - Implementierung für FPGA ModelSim - Timing Simulation ISE - Generieren des Programmierfiles ISE - Generieren des Programmierfiles für CPLD ISE - Generieren des Programmierfiles für FPGA ISE - Generieren des Programmierfiles für EEPROM auf Modul Spartan3E ISE - Programmieren des IC Vorbereitung Programmierung CPLD / FPGA (direkt über JTAG-Schnittstelle) Programmierung des EEPROM's für Spartan3E (Modulares System)...32 Seite 2 / 37

3 1. Grundsätzliche Vorgaben 1.1. Vorgeschriebenes Arbeitsverzeichnis Es ist grundsätzlich das vorgesehene Arbeitsverzeichnis für das jeweilige Entwicklungstool zu verwenden Verzeichnis- / Projektstruktur Die Verzeichnisstruktur auf Laufwerk D: ist wie nachstehend gegliedert. Für die Arbeit mit ModelSim und ISE sind die Verzeichnisse ModelSim_Projects, ISE_Projects sowie VHDL_Codes vorgesehen. Im Verzeichnis ISE_Lab_Files sind Hilfsdateien, wie z.b. die Vorlagen für die ~.do- und ~.ucf-dateien, welche für die Projekte benötigt werden, abgelegt. Projektverlauf: Zuerst werden die vorbereiteten Quelldateien (~.vhd, ~.do, ~.ucf) in das Verzeichnis D:\VHDL_Codes kopiert. Danach wird ein ModelSim-Projekt in D:\ModelSim_Projects erstellt. Nach der funktionalen Simulation wird im Projektverzeichnis D:ISE_Projects ein ISE-Projekt erstellt. In diesem werden die Dateien für die Timingsimulation erzeugt. Anschließend wird die Timingsimulation mit ModelSim durchgeführt. Zuletzt wird das IC programmiert. Keine Leerzeichen oder Sonderzeichen wie ü,ö,ä,/,\?,!,,(,),%,<,> u.a. in Datei- oder Verzeichnisnamen verwenden! Keine Dateien oder Verzeichnisse irgendwo auf C:\ oder dem Desktop erstellen! Diese gehen bei einem evtl. erforderlichen Neustart des PC s verloren! Zur Beachtung! Daten, die nach der jeweiligen Arbeitssitzung nicht auf externe Speichermedien kopiert werden, sind gegebenenfalls unwiederbringlich verloren. Nach einem Neustart des Rechners können die Projekte aus dem Ordner D:\BACKUP gerettet werden. Nach einem weitereren Neustart sind alle Projekte gelöscht. Seite 3 / 37

4 Sie befinden sich in Schritt 1. Project Configuration (ModelSim) 2. ModelSim - Funktionale Simulation 2.1. ModelSim - Projekterstellung Starten von ModelSim durchdoppelklick auf Desktop Symbol File New Project... Im Create Project Fenster In Project Location über Browse das Verzeichnis D:\ModelSim_Projects auswählen Ergänzen mit dem Projektordnername D:\ModelSim_Projects/my_project_folder In Project Name den eigenen Projektnamen my_project eintragen Default Library Name belassen auf work Copy Library Mappings auswählen OK Bestätigen, dass ein neuer Projektordner erstellt werden soll. Es öffnet sich das Fenster Add Items to the Project Nun die vorbereiteten Quellfiles (.vhd,.do) in den Ordner D:\VHDL_Codes kopieren, wenn noch nicht geschehen. Im Fenster Add Items to the Project Klick auf Add Existing File Im Fenster Add File to Project Über Browse Auswählen von my_sourcefile.vhd aus dem Quellordner (Quellordner ist Ihr Verzeichnis, in dem die vorbereitete Datei liegt; sollte D:\VHDL_Codes sein) Reference from current location markieren. OK Die Datei wird dem Projekt hinzugefügt. Fenster Add Items to the Project mit Close schliessen. Das Projekt ist erstellt. Seite 4 / 37

5 Sie befinden sich in Schritt 1. Project Configuration (ModelSim) Im Reiter Project steht das eigene Quellfile my_sourcefile.vhd. Der Status ist mit einem? markiert. Das bedeutet, dieses Quellfile ist noch nicht compiliert. Neues Projekt wurde erstellt. Im Reiter Library sind die eingebundenen Libraries aufgeführt. Die Library work ist noch leer. (Durch einen Bug in ModelSim wird diese Library aber als gefüllt gekennzeichnet; es steht ein Pluszeichen davor. Es verschwindet, sobald darauf geklickt wird.) Seite 5 / 37 Altes Projekt wurde nicht gefunden.

6 Sie befinden sich in Schritt 2. Functional Simulation (ModelSim) 2.2. ModelSim - Compilierung Im Reiter Project das Designfile my_sourcefile markieren und durch Klick auf die Ikone die Datei kompilieren. Im Reiter Project hat das Design File nun den Status compiliert (grünes Häkchen), d.h. die Compilierung ist ordnungsgemäß verlaufen. Im Fenster Transcript wird in grüner Schrift eine Bestätigungsmeldung angezeigt. Ist die Compilierung nicht erfolgreich, so ist die Fehlermeldung in roter Schrift dargestellt. Ein Doppelklick auf diese Meldung öffnet eine erweiterte Fehlerbeschreibung. Im Reiter Library steht nun in work das compilierte Quellfile. Erkennbar am + vor work. Seite 6 / 37

7 Sie befinden sich in Schritt 2. Functional Simulation (ModelSim) 2.3. ModelSim - Simulation Öffne Simulate Runtime Options... Setze Default Run: 100 (Falls keine Maßeinheit eingetragen wird, ist ps gesetzt.) Default Force Type: Freeze Default Radix: Hexadecimal OK Klick auf (Simulate) Es öffnet sich das Fenster Start Simulation. Im Reiter Design die Library work öffnen. Die zu simulierende Datei my_sourcefile.vhd markieren. (Im Beispiel heißt die zugehörige entity exor.) Evtl. zur besseren Sicht das Fenster am rechten Rand mit der Maus aufziehen. OK Die Simulation wird gestartet. Im ModelSim-Fenster erscheint ein weiteres Fenster Objects. In diesem werden die Signalnamen aufgelistet, wie sie im Designfile angegeben sind. Im Transcript Fenster werden die zugehörigen geladenen Libraries gezeigt. Seite 7 / 37

8 Sie befinden sich in Schritt 2. Functional Simulation (ModelSim) Um eine Berechnung der Simulationswerte in Abhängigkeit von Zuständen der Eingangssignale zu ermöglichen, werden dem Simulator über ein Macro (.do File) oder eine Testbench (.vhd File) Signalmuster für die Eingangssignale vorgegeben. Das Ergebnis ist ein Impulsmuster, welches im Wave Fenster, wie weiter unten gezeigt, grafisch dargestellt wird. (Wird eine Testbench benutzt, so ist diese ins Projekt wie ein Anwendungs-File einzubinden. Dabei ist zu beachten, dass das User-Design als Komponente in die Testbench eingebunden ist.) Klick auf Tools TCL Execute Macro... Auswählen my_do_file.do (Dieses File ist mittels eines einfachen Texteditor zu erstellen, um Steuerzeichen im Text zu vermeiden, die den Simulator irritieren.) Klick Open Ist das Wave Fenster noch von einer vorherigen Berechnung geöffnet, Wave Format abwählen, um doppelte Eintragungen im Wavefenster zu vermeiden. Klick Restart Seite 8 / 37

9 Sie befinden sich in Schritt 2. Functional Simulation (ModelSim) Im Wave Fenster ist das Ergebnis zu kontrollieren. Über die Lupenfunktionen kann die Auflösung des Wave-Fensters eingestellt werden. Durch Klick aufden Undock-Button lässt sich das Wave-Fenster ablösen und bildschirmfüllend vergrößern. Links unten im Wavefenster sind Auswahlbuttons zur Einstellung des Wavefensters zu finden. Damit lassen sich Kursors ein- und ausblenden sowie die Rasterung der Zeitdarstellung verändern. Die funktionale Simulation ist hiermit abgeschlossen. Seite 9 / 37

10 Sie befinden sich in Schritt 3.1. Project Configuration 3. ISE 3.1. ISE Projekterstellung Klick auf die Ikone New Project... auswählen Es öffnet sich der New Project Wizzard mit dem Create New Project Fenster Auswahl in Working Directory: D:\ISE_Projects Auswahl in Location: D:\ISE_Projekts Im Feld Name: den eigenen Projektnamen angeben, (im Beispiel: my_project). Im Feld Location: wird der Eintrag my_project automatisch ergänzt und somit ein Projektordner mit dem Projektnamen erzeugt. Top-Level Source Type: auf HDL stellen. Next auf dem Desktop öffnet den Projektnavigator. Im Fenster Description: können Bemerkungen zum Projekt eingetragen werden. Seite 10 / 37

11 Sie befinden sich in Schritt 3.1. Project Configuration Die Hardware über Family, Device, Package und Speed entsprechend Tabelle auswählen. Einstellungen wie im nebenstehenden Bild auswählen. Next Family Device Package Speed CPLD XC9500 CPLD Coolrunner2 CPLD XC95108 XC9572 XC2C256 PC84 PC44 PQ Es wird eine Zusammenfassung der Projekteinstellungen angezeigt. Finish Seite 11 / 37 FPGA Spartan3 Spartan3E XC3S400 XC3S500E TQ144 PQ

12 Sie befinden sich in Schritt 3.1. Project Configuration New Source Am linken Rand des Fensters Design sind nebenstehende Iconen zu finden. Diese dienen zur Auswahl der gezeigten Funktionen. Add Source Add Copy of Source Set Module as Top Remove Source Edit Design Properties Edit Source Properties Change Orientation Erstellen eines neuen Design Files Durch Anklicken der Icone New Source wird der New Source Wizzard gestartet, um ein neues Design File zu erstellen. Markieren des Source Typ (hier VHDL Modul) In File name: Name des Source Files eingeben. Sinnvoll ist ein Name, der einen Hinweis auf die Funktion des zu beschreibenden Designs gibt. Haken bei Add to project setzen. Dadurch wird das erzeugte File in den Projektordner geschrieben. Next In Feld Architecture name den eigenen ArchitekturNamen eintragen. Port-Namen vergeben. Das sind die Signalnamen, die am Chip an den Pin anliegen sollen. Zu jedem Signalnamen bei Direction die Datenflussrichtung auswählen. Bei den Signalen, die einen Bus darstellen, bei Bus einen Haken setzen und die Vektorbreite bei MSB und LSB eintragen. Vektor B ist hier im Beispiel 5 Bit breit. Seite 12 / 37

13 Sie befinden sich in Schritt 3.1. Project Configuration Next Es öffnet sich der Editor mit einem Designgerüst mit Voreinstellungen. Die Datentypen in der Portmap sind STD_LOGIC bzw. STD_LOGIC_VECTOR. Falls gefordert, sind diese in bit bzw. bit_vector umzubenennen. Die Architektur ist nun zu beschreiben Einbinden eines bestehenden Design Files Üblicherweise liegt das bestehende Design File im Ordner D:\VHDL_Codes oder auf dem USB-Stick. Click auf Icone Add Copy of Source Es öffnet sich das Fenster Add Source. Auswählen von D:\VHDL_Codes\my_sourcefile.vhd und wenn schon vorhanden my_sourcefile.ucf. Open Auswählen des/der bestehenden Quellfiles aus Ordner D:\VHDL_Codes. Es können.vhd-files (Design-Files) und.ucffiles (User Constraint Files) gleichzeitig eingefügt werden. (.ucf-files beschreiben u.a. die Zuweisung der E/A-Signale auf Gehäuse-Pin) Es werden die.vhd-dateien ausgewählt, die schon im ModelSim-Projekt verwendet wurden. Die Quellfiles werden nicht in das ISE-Projekt kopiert. Dadurch wird vermieden, dass es unterschiedliche Versionen in ModelSim und ISE gibt. Next Im Fenster Adding Source Files... wird der Status der Quellfiles im Projekt angezeigt. Falls es Probleme beim Einbinden gibt, steht statt des grünen Hakens ein rotes Kreuz. Dazu wird eine Fehlermeldung generiert. OK Seite 13 / 37

14 Sie befinden sich in Schritt 3.1. Project Configuration Die Files werden nun in das Projekt eingebunden und im Fenster Hierarchy angezeigt. Um die verschiedenen Arbeitsschritte im Projekt ausführen zu können, ist im Fenster Hierarchy eine Vorauswahl durch Markieren zu treffen. Je nachdem welcher Eintrag dort markiert ist, werden im Fenster Processes: die für diese Auswahl möglichen Arbeitsschritte angeboten. Im rechten großen Fenster werden die verschiedenen Editoren und Anzeigetools geöffnet. Seite 14 / 37

15 Sie befinden sich in Schritt 3.2 Sythesize XST 3.2. ISE Synthese ISE Synthes für CPLD Im Fenster Hierarchy das zu bearbeitende Design File my_sourcefile.vhd markieren. Im Fenster Processes: durch Klick auf + Implement Design öffnen. Im Fenster Processes: durch Klicken auf + Synthesize-XST öffnen. Durch Doppelklick auf Check Syntax wird das Design-File auf syntaktische Fehler überprüft. Das Tool prüft nicht, ob das Design-File die gewünschte Logic beschreibt! Evtl. auftretende Fehler beseitigen. Doppelklick auf Synthesize XST Die Synthese wird durchgeführt. Ein arbeitendes Modul wird mit einer blauen sich drehenden Scheibe gekennzeichnet. Zur Orientierung, dass die einzelnen Arbeitsschritte ordnungsgemäß erledigt sind, erscheint links neben den einzelnen Prozessschritten ein grüner Kreis mit weißem Häkchen. Wurde im jeweiligen Arbeitsschritt ein Fehler erkannt, erscheint hier ein roter Kreis mit weißem Kreuz. Ist ein roter Kreis mit Fragezeichen angezeigt, so sind für diesen Prozessschritt noch nicht alle Arbeitsschritte abgearbeitet. Ein gelber Kreis mit weißem Ausrufungszeichen zeigt an, dass in diesem Arbeitsschritt eine Warnung ausgegeben wurde. Das unterste Fenster auf dem Bildschirm ist ein Protokollfenster. Je nach dem welcher der Reiter Console, Errors, Warnings oder Find in Files Results ausgewählt wurde, werden entsprechende Meldungen angezeigt. Die Abarbeitungsschritte der einzelnen Module werden bei Auswahl Console in diesem Fenster protokolliert. Die Fehler- bzw. Warnungsmeldungen werden hier ausführlicher gezeigt als in den Fenstern Error oder Warnings. Seite 15 / 37

16 Sie befinden sich in Schritt 3.2 Sythesize XST Doppelklick auf View RTL-Schematic öffnet den Schaltplaneditor. Die Darstellung erfolgt in einer hierachischen Struktur. Durch Klicken auf die jeweiligen Blöcke der Schaltung lassen sich die Schaltungsstrukturen bis auf Gatterebene herunterbrechen. Das nebenstehende Bild zeigen beispielhaft die hierarchische Struktur einer XOR-Schaltung, die in VHDL beschrieben wurde. Die in der Entity angegebenen Signalnamen X1,X2 und Q1 werden in den tiefer liegenden Ebenen durch die Namen Data(0), Data(1) und Result bzw. Xo<0>1 und Xo<0>1 ersetzt. Das geschieht, weil für ein XOR bereits ein Funktionsmodell mit diesen Signalnamen im Sythesetool existiert. Weiter mit Pkt ISE - Implementierung für CPLD Seite 16 / 37

17 Sie befinden sich in Schritt 3.2 Sythesize XST ISE - Synthese für FPGA Dies sind die Fenster Hierarchy: und Processes: nach Erstellung eines FPGA Projektes mit Einbindung der Quellfiles. Im Fenster Hierarchy: das Design File my_sourcefile.vhd markieren. Der Filename steht in Klammern. Vorn wird der Name der Top-Entity angezeigt. Im Fenster Processes: durch Klicken auf + Synthesize-XST öffnen. Durch Doppelklick auf Check Syntax wird das Design-File auf syntaktische Fehler überprüft. Das Tool prüft nicht, ob das Design-File die gewünschte Logic beschreibt! Evtl. auftretende Fehler beseitigen. Doppelklick auf Synthesize XST Die Synthese wird durchgeführt. Ein arbeitendes Modul wird mit einer blauen sich drehenden Scheibe gekennzeichnet. Zur Orientierung, dass die einzelnen Arbeitsschritte ordnungsgemäß erledigt sind, erscheint links neben den einzelnen Prozessschritten ein grüner Kreis mit weißem Häkchen. Wurde im jeweiligen Arbeitsschritt ein Fehler erkannt, erscheint hier ein roter Kreis mit weißem Kreuz. Ist ein roter Kreis mit Fragezeichen angezeigt, so sind für diesen Prozessschritt noch nicht alle Arbeitsschritte abgearbeitet. Ein gelber Kreis mit weißem Ausrufungszeichen zeigt an, dass in diesem Arbeitsschritt eine Warnung ausgegeben wurde. Das unterste Fenster auf dem Bildschirm ist ein Protokollfenster. Je nach dem welcher der Reiter Console, Errors, Warnings oder Find in Files Results ausgewählt wurde, werden entsprechende Meldungen angezeigt. Die Abarbeitungsschritte der einzelnen Module werden bei Auswahl Console in diesem Fenster protokolliert. Die Fehler- bzw. Warnungsmeldungen werden hier ausführlicher gezeigt als in den Fenstern Error oder Warnings. Durch Klick auf View RTL Schematic wird der Schaltplan angezeigt. Durch Klicken auf die Funktionsboxen lässt sich durch die Hierarchie navigieren (siehe S.16). Weiter mit ISE - Implementierung für FPGA Seite 17 / 37

18 Sie befinden sich in Schritt 3.3 Implement Design 3.3. ISE - Implementierung ISE - Implementierung für CPLD Voraussetzung: Die Synthese ist ordnungsgemäß abgelaufen. Hinweis! Vor der Implementierung sollte unbedingt das User Constraint File my_ucf_file.ucf in das Projekt eingebunden werden, falls noch nicht geschehen. Als Mustergeneratoren stehen für das Modulare System die Files UCF-GeneratorforModSys.ods (OpenOffice/Calc) und UCF-GeneratorforModSys.xls (MS Office/Excel) auf der Laborseite im Downlodbereich zur Verfügung. Die Benutzung wird in den jeweiligen Files erläutert. Für die CPLD Boards XC95108 und XC9572 werden auf der Webseite Musterfiles zur Verfügung gestellt. Ohne Verwendung des User Constraint File legt das ISE Implementierungstool die Pinzuordnung nach seinen eigenen Kriterien fest! Im Fenster Processes durch Klicken auf + Implement Design öffnen. Doppelklick auf Implement Design Die Implementierung wird bis zur Erstellung des Programmierfiles durchgeführt. Es wird ein sogenannter Fitterreport erstellt und im rechten Bildschirmfenster dargestellt. Durch Klick auf die in der grau hinterlegten Spalte angegebenen Themen, gelangt man auf die jeweiligen Protokollseiten. Wichtig ist die Pin List Seite. Hier ist eine Kontrolle, ob alle vorgegebenen Pinbelegungen eingehalten werden, sinnvoll. Seite 18 / 37

19 Sie befinden sich in Schritt 3.3 Implement Design Nachfolgend werden die Dateien zur Timingsimulation erzeugt. Im Fenster Processes: durch Klicken auf + Optional Implementation Tools öffnen. Doppelklick auf Generate Post-Fit Simulation Model Die Dateien entityname_timesim.vhd und entityname_timesim.sdf (z,b, exor_timesim.vhd, exor_timesim.sdf) werden im Verzeichnis D:\ISE_Projects\my_project\netgen\fit für die Timing Simulation erzeugt. Weiter mit Pkt 4. ModelSim - Timingsimulation. Seite 19 / 37

20 Sie befinden sich in Schritt 3.3 Implement Design ISE - Implementierung für FPGA Voraussetzung: Die Synthese ist ordnungsgemäß abgelaufen. Hinweis! Vor der Implementierung sollte unbedingt das User Constraint File my_ucf_file.ucf in das Projekt eingebunden werden, falls noch nicht geschehen. Als Mustergeneratoren stehen für das Modulare System die Files UCF-GeneratorforModSys.ods (OpenOffice/Calc) und UCF-GeneratorforModSys.xls (MS Office/Excel) auf der Laborseite im Downlodbereich zur Verfügung. Die Benutzung wird in den jeweiligen Files erläutert. Für das FPGA Board Spartan3 werden auf der Webseite Musterfiles zur Verfügung gestellt. Ohne Verwendung des User Constraint File legt das ISE Implementierungstool die Pinzuordnung nach seinen Kriterien fest! Im Fenster Processes durch Klicken auf + Implement Design öffnen. Doppelklick auf Implement Design Die Implementierung wird durchgeführt. Zu den Modulen Translate, MAP, Place & Route können Reportdateien generiert werden. Dazu ist mit der rechten Maustaste zu klicken und View Text Report auszuwählen. Die Reports werden dann im rechten Fenster angezeigt. Erzeugung der Dateien zur Timingsimulation: Place & Route öffnen Doppelklick auf Generate Post-Place & Route Simulation Model Die Dateien entityname_timesim.vhd und entityname_timesim.sdf (z,b, exor_timesim.vhd, exor_timesim.sdf) werden im Verzeichnis D:\ISE_Projects\my_project\netgen\par für die Timing Simulation erzeugt. Weiter mit Pkt 4. ModelSim - Timingsimulation. Seite 20 / 37

21 Sie befinden sich in Schritt 4. Timing Simulation (ModelSim) 4. ModelSim - Timing Simulation Zur Timing Simulation kann das schon vorhandene ModelSim Projekt aus der funktionalen Simulation verwenden werden. Einbinden des Quellfiles entityname_timesim.vhd über Project Add to Project Existing File... Browse Für FPGA: D:/ISE_Projects/my_project/netgen/par/entityname_timesim.vhd Für CPLD: D:/ISE_Projects/my_project/netgen/fit/entityname_timesim.vhd (Beispiel: Entity-Name = EXOR D:/ISE_Projects/my_project/netgen/ par/exor_timesim.vhd) Reference from current location auswählen. OK File wie unter Pkt. 2. beschrieben compilieren und die Simulation mit starten. Im Fenster Start Simulation den Reiter Design öffnen die Library work durch Klick auf + öffnen und entityname_timesim.vhd auswählen. Reiter SDF öffnen. Im Fenster Add SDF Entry mittels Browse die Datei entityname_timesim.sdf auswählen. Die Suchpfade sind bei CPLD's D:/ISE_Projects/my_project/netgen/fit bei FPGA's D:/ISE_Projects/my_project/netgen/par Wird eine Testbench verwendet, so ist in Apply to Region der Marker einzutragen, bei dem die Topentity des Designs in die Testbench eingebunden wird. Klicken auf OK Der Pfad zur entityname_timesim.sdf wird ins Fenster eingetragen. Diesen Eintrag mit der Maus markieren. OK Die Simulation wird gestartet. Seite 21 / 37

22 Sie befinden sich in Schritt 4. Timing Simulation (ModelSim) Im Fenster Object werden nun neben den Signalen aus der port map der Top-Entity weitere Signale, die von ISE in entityname_timesim.vhd erzeugt wurden, angezeigt. Weiter wie in Funktionale Simulation Tools TCL Execute Macro...(Seite 8). Es kann die gleiche.do-datei verwendet werden, wie bei der funktionalen Simulation. Dabei ist zu beachten: Falls in der.do-datei das Kommando vsim work.xxxxx benutzt wird, ist dieses für die Timimgsimulation mit einem vorangestellten # auszukommentieren. Charakteristisch für die Wavedarstellung einer Timingsimulation sind die Zeitabschnitte der Einschwingvorgänge am Anfang der Zeitskala - hier rot dargestellt für die der Simulator noch keine definierten Werte berechnen konnte. (In der timesim.vhd wird ausschließlich der standard_logic-datentyp verwendet, auch wenn im my_sourcefile.vhd z.b. der Datentyp bit benutzt wird.) Die Funktion ist anhand der Wavetable zu kontrollieren. Die Timingsimulation ist hiermit abgeschlossen. Seite 22 / 37

23 Sie befinden sich in Schritt 5. Generate Programming File 5. ISE - Generieren des Programmierfiles 5.1. ISE - Generieren des Programmierfiles für CPLD Im Fenster Processes: durch Klick auf + Implement Design öffnen. Doppelklick auf Generate Programming File Es wird das JEDEC-Programmierfile entityname.jed im Projektordner erzeugt. Dies ist das Standard-Programmierfile für alle handelsüblichen Programmiergeräte. Es dient als Quellfile zur direkten Programmierung mittels des ISE-Programmiertools impact ISE - Generieren des Programmierfiles für FPGA Im Fenster Processes: Doppelklick auf Generate Programming File. Es wird das Programmierfile entityname.bit im Projektordner erzeugt. Es dient als Quellfile für das Programmiertool impact. Seite 23 / 37

24 Sie befinden sich in Schritt 5. Generate Programming File 5.3. ISE - Generieren des Programmierfiles für EEPROM auf Modul Spartan3E Hintergrund: Auf dem Target Board Spartan3E des Modularen Systems befindet sich ein serielles EEPROM vom Typ Xilinx XCF04S-V020. Dieses dient zur Aufnahme der Programmierdaten für den Spartan3E, um auch einen autonomen Betrieb des FPGA zu ermöglichen. Nach Anlegen der Versorgungsspannung an das Board lädt sich der Spartan3E die Designdaten selbständig aus dem EEPROM. Ausgangspunkt für die Erzeugung des Programmierfiles für das EEPROM ist das erzeugte Programmierfile für den Spartan3E, erkennbar am grünen Häkchen bei Generate Programming File. Durch Klick auf das + Configure Target Device öffnen Doppelklick auf Generate Target PROM/ACE File Die Warnung mit OK bestätigen. Im Fenster impact Flows Doppelklick auf Create PROM File (PROM File Formatter) Seite 24 / 37

25 Sie befinden sich in Schritt 5. Generate Programming File Xilinx Flash/PROM durch Klick markieren. Mit Klick auf grünen Pfeil Step 2 aktivieren. Im Step 2 Fenster: In der Auswahlbox PROM Family Platform Flash auswählen. In der Auswahlbox Device (bits) xcf04s [4M] auswählen. Button Add Storage Device klicken. Grünen Pfeil rechts neben Step 2 Fenster klicken, Step 3 wird aktiviert. Seite 25 / 37

26 Sie befinden sich in Schritt 5. Generate Programming File In Checksum Fill Value FF eintragen. In Output File Name einen eigenen Namen eintragen. In Output File Location den Projektpfad eintragen. In File Format den Value-Wert MCS auswählen. Klick OK Klick OK im Fenster Add Device Auswahl des.bit-files my_sourcefile.bit Open Seite 26 / 37

27 Sie befinden sich in Schritt 5. Generate Programming File Klick No Es wird kein weiteres File benötigt. Klick OK Im Fenster impact Processes Doppelklick auf Generate File... Hiermit ist das Programmierfile für das EEPROM erstellt. Zum Programmieren des PROM im Fenster impact Flows Doppelklick auf Boundary Scan Zur Programmierung weiter auf Seite 36! Seite 27 / 37

28 Sie befinden sich in Schritt 6. Configure Device (impact) 6. ISE - Programmieren des IC Wichtig! Um eine Beschädigung des Zielsystems zu vermeiden, dürfen vor der Programmierung von CPLD noch keine IC-Anschlüsse mit Quellen (z.b. Schalter, Taster, Generator usw.) verbunden sein. D.h. Es dürfen keine Module an das Bord angesteckt sein. Beim Modularen System gilt das auch für das FPGA Spartan3E, weil hier u.u. das EEPROM aktiv ist. Hintergrund: CPLD behalten die Programmierinformation auch ohne angelegte Versorgungsspannung. Die Programmierung und damit die Pinbelegung der Ausgänge ist zum Nutzungszeitpunkt nicht bekannt. Wird nun z.b. ein Schalter, der hart +5V oder 0V liefert (je nach Schalterstellung und ohne Schutzwiderstand), an einen Ausgang angeschlossen, so entsteht u.u. abhängig von den Ausgangspegeln an CPLD und Peripherie ein Kurzschluss. Dieser führt dann zur Zerstörung des IC. Bei dem FPGA Spartan3E des Modularen Systems ist eine zweite Betriebsart implementiert, bei der das FPGA die Programmierdaten sofort nach Einschalten der Spannungsversorgung aus einem EEPROM lädt. Diese Daten sind ebenfalls, wie beim CPLD, von den vorherigen Nutzern implementiert worden und somit zum Nutzungszeitpunkt nicht bekannt Vorbereitung Anstecken des Programmierkabels mittels Flachbandkabel von Platform Cable USB II oder Parallel Cable IV an die Programmierschnittstelle des Entwicklungsboardes. Alle Module und weitere periphere Einheiten an dem Entwicklungsboard entfernen. Einschalten der Versorgungsspannung am Entwicklungsboard. Die gelb leuchtende Anzeige am Programmierkabel wechselt zu grün. Das zeigt an, dass eine gültige Versorgungsspannung anliegt 6.2. Programmierung CPLD / FPGA (direkt über JTAG-Schnittstelle) Im Fenster Processes: Configure Target Device öffnen Manage configuration Project (impact) durch Doppelklick starten. Seite 28 / 37

29 Sie befinden sich in Schritt 6. Configure Device (impact) Im Fenster impact Flows Linke Maustaste Doppelklick auf Boundary Scan Der Anweisung im Fenster folgen Right click to Add... Es öffnet sich ein Auswahlfenster Hier Klick auf Initialize Chain Das Tool sucht nun nach Schaltkreisen in der JTAG-Kette. Wurde mindestens ein IC gefunden, öffnet sich das Fenster Auto Assign Configuration Files Query Dialog. Die Frage Do you want to continue and assign configuration file(s)? mit Klick auf Yes bestätigen. Seite 29 / 37

30 Sie befinden sich in Schritt 6. Configure Device (impact) Auswählen des Programmierfiles entityname.jed für CPLD's bzw. entityname.bit für FPGA's (hier my_sourcefile.bit für ein FPGA) Klick auf Open. Falls ein Spartan3-Modul programmiert werden soll, erscheint noch das Abfragefenster Attach SPI or BPI PROM, weil das Tool noch ein EEPROM gefunden hat, welches auch die Modi SPI und BPI unterstützt. Wie das EEPROM programmiert wird, ist im Pkt. 6.3 beschrieben. Klick auf No um diese Modi zu umgehen. Jetzt wird trotzdem die Auswahl für das Programmierfile des EEPROM angeboten. Da kein File existiert, ist Bypass anzuklicken. Das Device Programming Properties Fenster mit OK bestätigen und schließen. Seite 30 / 37

31 Sie befinden sich in Schritt 6. Configure Device (impact) Nun wird die daisy chain der gefundenen IC's angezeigt. Das ist eine Kette der über JTAG programmierbaren IC's. Dem FPGA XC3S500e (Spartan3) ist das Programmierfile my_sourcefile.bit zugeordnet. Da für das EEPROM kein Programmierfile existiert, wird die Programmierung übergangen, so wie im vorherigen Auswahlfenster angegeben. Wird das CPLD Coolrunner Modul programmiert, ist die Kette nur mit diesem IC angezeigt. Klick auf zu programmierendes IC. Das ausgewählte IC färbt sich grün. Durch Klick auf oder Klick rechte Maustaste Klick Program wird die Programmierung gestartet. Im Fenster Progress Dialog wird ein Fortschrittbalken angezeigt. Mit der Meldung Program Succeeded wird die ordnungsgemäße Programmierung bestätigt. Zum Testen des Designs: Die Spannungsversorgung für das Entwicklungsboard abschalten. Module an die jeweiligen Buchsen anstecken, wie zu Beginn mittels ucf-file vorgegeben. Evtl. nötige Verkabelung des Übungsaufbaues durchführen. Die Spannungsversorgung einschalten. Versuchsaufbau entsprechend Aufgabenstellung testen. Seite 31 / 37

32 Sie befinden sich in Schritt 6. Configure Device (impact) 6.3. Programmierung des EEPROM's für Spartan3E (Modulares System) incl. Erzeugung Programmierfile Starten von impact entsprechend 6.2 (Manage configuration Project (impact)) Klick Create PROM File (PROM File Formatter) In Step 1. Select Storage Target die Speicherfamilie Xilinx Flash/PROM markieren. Auf grünen Pfeil klicken In Step 2 Add Storage Device(s) die PROM Family Platform Flash und das Device(bits) xcf04s [4M] auswählen. Klick auf Add Storage Device Seite 32 / 37

33 Sie befinden sich in Schritt 6. Configure Device (impact) Das ausgewählte PROM wird in das Protokollfenster eingetragen. Klick auf grünen Pfeil Einen Dateinamen im Feld Output File Name eintragen. Alle anderen Einstellungen beibehalten bzw. auf die im Bild gezeigte Auswahl stellen. Im Fenster PROM File Formatter Klick auf OK. Seite 33 / 37

34 Sie befinden sich in Schritt 6. Configure Device (impact) Im kleinen Fenster Add Device wird angezeigt, dass die Fileversion Revision: 0 erzeugt wird. Klick auf OK Auswählen my_source_entity.bit Open Da in ein EEPROM mehrere unterschiedliche Programmierfiles für das selbe FPGA untergebracht werden können, wird hier auch abgefragt, ob ein weiteres bit-file zu der aktiven Revision hinzugefügt werden soll. Klick auf No Im nächsten Fenster wird angezeigt, dass die Angaben zur Erzeugung des Programmierfiles für das EEPROM komplett ist. Klick auf OK Im impact Processes Fenster Doppelklick auf Generate File Seite 34 / 37

35 Sie befinden sich in Schritt 6. Configure Device (impact) Es wird das Programmierfile für das EEPROM als my_prom_file.mcs erzeugt. Mit Generate Succeeded wird die erfolgreiche Generierung des Files signalisiert. Doppelklick auf Boundary Scan Rechtsklick auf Right click to Add Device or Initialize JTAG chain Im folgenden Fenster Initialize Chain auswählen. Es wird die daisy chain angezeigt. Im Fenster Auto Assign Configuration Files Query Dialog auf Yes klicken. Seite 35 / 37

36 Sie befinden sich in Schritt 6. Configure Device (impact) Im Fenster Assign New Configuration File nicht das.bit-file auswählen sondern Bypass klicken. Auswählen my_prom_file.mcs Klick auf Open Fenster Device Programming Properties mit OK bestätigen. Klick auf IC xcf04s. Das IC färbt sich grün und ist damit ausgewählt. Klick rechte Maustaste auf grünes IC. Auswahl Program Das EEPROM wird mit Daten beschrieben. Die Meldung Program Succeeded zeigt an, dass der Vorgang ohne Fehler abgeschlossen wurde. Nach der Programmierung des EEPROM hat das FPGA die Daten aber noch nicht geladen. Seite 36 / 37

37 Sie befinden sich in Schritt 6. Configure Device (impact) Zur Übertragung des Designs in das FPGA sind folgende Schritte nötig: - Das Entwicklungsboard abschalten. - Am ModSys Targetboard XILINX SPARTAN3E den Schiebeschalter auf PROM stellen. - Das Board wieder einschalten. - Das FPGA liest die Daten aus dem EEPROM. Das Ende des Programmiervorganges, welcher ca. 3-4 Sekunden dauert, wird mit Aktivierung der gelben DONE LED auf dem Spartan3E Targetboard angezeigt. Das FPGA befindet sich nun im programmierten Zustand und kann wie gewünscht benutzt werden. Achtung! Bei jedem Einschalten des Systems wird das FPGA vom EEPROM neu programmiert, wenn der Schiebeschalter auf dem Targetboard XILINX SPARTAN3 auf Stellung PROM steht. Ist der Schalter versehentlich auf PROM gestellt, kann evtl. ein unbekanntes Design ins FPGA geladen werden. Seite 37 / 37

Anleitung. Mentor Graphics ModelSim SE 6.3j / XE III 6.3c XILINX ISE 10.1i. Designflow. 1. Proje ct Configuration Folder/Sources

Anleitung. Mentor Graphics ModelSim SE 6.3j / XE III 6.3c XILINX ISE 10.1i. Designflow. 1. Proje ct Configuration Folder/Sources Anleitung Mentor Graphics ModelSim SE 6.3j / XE III 6.3c XILINX ISE 10.1i Designflow ModelSim ISE (XILINX) 1. Proje ct Configuration Folder/Sources 2. Functional Sim ulation 3.1 Proje ct Configuration

Mehr

Anleitung. Mentor Graphics ModelSim XE III 6.3c XILINX ISE 10.1i. Designflow 1/ Project Configuration Folder/Sources. 2. Functional Sim ulation

Anleitung. Mentor Graphics ModelSim XE III 6.3c XILINX ISE 10.1i. Designflow 1/ Project Configuration Folder/Sources. 2. Functional Sim ulation Anleitung Mentor Graphics ModelSim XE III 6.3c XILINX ISE 10.1i Designflow ModelSim ISE (XILINX) 1. Project Configuration Folder/Sources 2. Functional Sim ulation 3.1 Project Configuration Folder/Device/Sources

Mehr

Anleitung. Mentor Graphics ModelSim SE 6.3j / XE III 6.3c XILINX ISE 10.1i. Designflow 1/ Project Configuration Folder/Sources

Anleitung. Mentor Graphics ModelSim SE 6.3j / XE III 6.3c XILINX ISE 10.1i. Designflow 1/ Project Configuration Folder/Sources Anleitung Mentor Graphics ModelSim SE 6.3j / XE III 6.3c XILINX ISE 10.1i Designflow ModelSim ISE (XILINX) 1. Project Configuration Folder/Sources 2. Functional Sim ulation 3.1 Project Configuration Folder/Device/Sources

Mehr

Windows 7 Winbuilder USB Stick

Windows 7 Winbuilder USB Stick Windows 7 Winbuilder USB Stick Benötigt wird das Programm: Winbuilder: http://www.mediafire.com/?qqch6hrqpbem8ha Windows 7 DVD Der Download wird in Form einer gepackten Datei (7z) angeboten. Extrahieren

Mehr

iphone-kontakte zu Exchange übertragen

iphone-kontakte zu Exchange übertragen iphone-kontakte zu Exchange übertragen Übertragen von iphone-kontakten in ein Exchange Postfach Zunächst muss das iphone an den Rechner, an dem es üblicherweise synchronisiert wird, angeschlossen werden.

Mehr

Anleitung ModelSim. ModelSim SE6.3j, PE (Mentor Graphics) Designflow. 1/14 Aktualisiert: 11/2012 Pal

Anleitung ModelSim. ModelSim SE6.3j, PE (Mentor Graphics) Designflow. 1/14 Aktualisiert: 11/2012 Pal Anleitung ModelSim ModelSim SE6.3j, PE (Mentor Graphics) Designflow 1/14 Aktualisiert: 11/2012 Pal Inhaltsverzeichnis 1 Konfiguration 3 1.1 Projekterstellung 3 1.2 Einbinden von Dateien in das Projekt

Mehr

Einstellungen im Internet-Explorer (IE) (Stand 11/2013) für die Arbeit mit IOS2000 und DIALOG

Einstellungen im Internet-Explorer (IE) (Stand 11/2013) für die Arbeit mit IOS2000 und DIALOG Einstellungen im Internet-Explorer (IE) (Stand 11/2013) für die Arbeit mit IOS2000 und DIALOG Um mit IOS2000/DIALOG arbeiten zu können, benötigen Sie einen Webbrowser. Zurzeit unterstützen wir ausschließlich

Mehr

Kapitel 1: Betriebssystem IX. W indows Explorer und der Arbeitsplatz Teil 2

Kapitel 1: Betriebssystem IX. W indows Explorer und der Arbeitsplatz Teil 2 1.0 Die Darstellung im Explorer, Arbeitsplatz bzw. Eigene Dateien ändern bzw. einstellen Gegenüber den Betriebssystemen Windows 98 / NT / 2000 hat sich die Darstellung des Explorers in den Betriebssystemen

Mehr

Anleitung zum Erstellen einer Library (Altium)

Anleitung zum Erstellen einer Library (Altium) Anleitung zum Erstellen einer Library (Altium) 1, Neue Library erstellen: File -> New -> Library -> Schematic Library Danach öffnet sich eine Zeichenfläche und am Rand eine Library Leiste. 1,1 Umbenennen

Mehr

Die Dateiablage Der Weg zur Dateiablage

Die Dateiablage Der Weg zur Dateiablage Die Dateiablage In Ihrem Privatbereich haben Sie die Möglichkeit, Dateien verschiedener Formate abzulegen, zu sortieren, zu archivieren und in andere Dateiablagen der Plattform zu kopieren. In den Gruppen

Mehr

SICHERN DER FAVORITEN

SICHERN DER FAVORITEN Seite 1 von 7 SICHERN DER FAVORITEN Eine Anleitung zum Sichern der eigenen Favoriten zur Verfügung gestellt durch: ZID Dezentrale Systeme März 2010 Seite 2 von 7 Für die Datensicherheit ist bekanntlich

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Ablaufbeschreibung für das neu Aufsetzen von Firebird und Interbase Datenbanken mit der IBOConsole

Ablaufbeschreibung für das neu Aufsetzen von Firebird und Interbase Datenbanken mit der IBOConsole Lavid-F.I.S. Ablaufbeschreibung für das neu Aufsetzen von Firebird und Interbase Datenbanken mit der Lavid Software GmbH Dauner Straße 12, D-41236 Mönchengladbach http://www.lavid-software.net Support:

Mehr

Bedienungsanleitung. Stand: 26.05.2011. Copyright 2011 by GEVITAS GmbH www.gevitas.de

Bedienungsanleitung. Stand: 26.05.2011. Copyright 2011 by GEVITAS GmbH www.gevitas.de GEVITAS-Sync Bedienungsanleitung Stand: 26.05.2011 Copyright 2011 by GEVITAS GmbH www.gevitas.de Inhalt 1. Einleitung... 3 1.1. Installation... 3 1.2. Zugriffsrechte... 3 1.3. Starten... 4 1.4. Die Menü-Leiste...

Mehr

Outlook 2000 Thema - Archivierung

Outlook 2000 Thema - Archivierung interne Schulungsunterlagen Outlook 2000 Thema - Inhaltsverzeichnis 1. Allgemein... 3 2. Grundeinstellungen für die Auto in Outlook... 3 3. Auto für die Postfach-Ordner einstellen... 4 4. Manuelles Archivieren

Mehr

Meldewesen Plus. TIPP: Nach Möglichkeit sollten Sie vor dem Erstellen von Etiketten die Vorlagen dupliziert haben!

Meldewesen Plus. TIPP: Nach Möglichkeit sollten Sie vor dem Erstellen von Etiketten die Vorlagen dupliziert haben! Meldewesen Plus Etikettendruck Bitte prüfen Sie vor Erstellung der Etiketten die Seiteneinstellungen unter: Datei - Seitenformat: Wichtig ist, dass bei den ersten 4 Feldern 0cm und links 0,499cm steht.

Mehr

Hex Datei mit Atmel Studio 6 erstellen

Hex Datei mit Atmel Studio 6 erstellen Hex Datei mit Atmel Studio 6 erstellen Es werden generell keine Atmel Studio Dateien ins Repository geladen, da jeder seine Dateien an anderen Orten liegen hat und weil nicht jeder das Atmel Studio 6 benutzt.

Mehr

Für Windows 7 Stand: 21.01.2013

Für Windows 7 Stand: 21.01.2013 Für Windows 7 Stand: 21.01.2013 1 Überblick Alle F.A.S.T. Messgeräte verfügen über dieselbe USB-Seriell Hardware, welche einen Com- Port zur Kommunikation im System zur Verfügung stellt. Daher kann bei

Mehr

Wichtige Information zur Verwendung von CS-TING Version 9 für Microsoft Word 2000 (und höher)

Wichtige Information zur Verwendung von CS-TING Version 9 für Microsoft Word 2000 (und höher) Wichtige Information zur Verwendung von CS-TING Version 9 für Microsoft Word 2000 (und höher) CS-TING Version 9 Das Programm CS-TING Version 9 für Microsoft Word 2000 (und höher) verwendet Makros bei der

Mehr

Installation / Aktualisierung von Druckertreibern unter Windows 7

Installation / Aktualisierung von Druckertreibern unter Windows 7 Rechenzentrum Installation / Aktualisierung von Druckertreibern unter Windows 7 Es gibt drei verschiedene Wege, um HP-Druckertreiber unter Windows7 zu installieren: (Seite) 1. Automatische Installation...

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 Die Installation der FuxMedia Software erfolgt erst NACH Einrichtung des Netzlaufwerks! Menüleiste einblenden, falls nicht vorhanden Die

Mehr

OS Anwendungsbeschreibung

OS Anwendungsbeschreibung Inhalt Einleitung... 2 Start des Programms... 2 Scannen einer neuen Zeitungsseite... 4 Presse-Clipping... 8 Artikel ausschneiden und bearbeiten... 11 Übernahme aus der Zwischenablage... 19 Ausdruck in

Mehr

Leitfaden zur ersten Nutzung der R FOM Portable-Version für Windows (Version 1.0)

Leitfaden zur ersten Nutzung der R FOM Portable-Version für Windows (Version 1.0) Leitfaden zur ersten Nutzung der R FOM Portable-Version für Windows (Version 1.0) Peter Koos 03. Dezember 2015 0 Inhaltsverzeichnis 1 Voraussetzung... 3 2 Hintergrundinformationen... 3 2.1 Installationsarten...

Mehr

Artikel Schnittstelle über CSV

Artikel Schnittstelle über CSV Artikel Schnittstelle über CSV Sie können Artikeldaten aus Ihrem EDV System in das NCFOX importieren, dies geschieht durch eine CSV Schnittstelle. Dies hat mehrere Vorteile: Zeitersparnis, die Karteikarte

Mehr

ISK 200 Treiberinstallation

ISK 200 Treiberinstallation Treiberinstallation HB_ISK200_D_V10.doc 1 ALLGEMEIN 1. ALLGEMEIN Wenn der Schnittstellenkonverter ISK 200 an einem PC angeschlossen wird muss ein USB-Treiber installiert werden, damit der ISK 200 erkannt

Mehr

Kurzeinstieg in VR-Ident personal

Kurzeinstieg in VR-Ident personal Kurzeinstieg in VR-Ident personal Sehr geehrte Kundin, sehr geehrter Kunde, anbei erhalten Sie eine kurze Übersicht zur Installation und zu weiteren Funktionen Ihrer Software VR-Ident personal. Installation

Mehr

Es sollte die MS-DOS Eingabeaufforderung starten. Geben Sie nun den Befehl javac ein.

Es sollte die MS-DOS Eingabeaufforderung starten. Geben Sie nun den Befehl javac ein. Schritt 1: Installation des Javacompilers JDK. Der erste Start mit Eclipse Bevor Sie den Java-Compiler installieren sollten Sie sich vergewissern, ob er eventuell schon installiert ist. Gehen sie wie folgt

Mehr

Speichern. Speichern unter

Speichern. Speichern unter Speichern Speichern unter Speichern Auf einem PC wird ständig gespeichert. Von der Festplatte in den Arbeitspeicher und zurück Beim Download Beim Kopieren Beim Aufruf eines Programms Beim Löschen Beim

Mehr

Einführung zum Arbeiten mit Microsoft Visual C++ 2010 Express Edition

Einführung zum Arbeiten mit Microsoft Visual C++ 2010 Express Edition In den nachfolgenden Schritten finden Sie beschrieben, wie Sie in der Entwicklungsumgebung Microsoft Visual Studio 2010 eine Projektmappe, ein Projekt und einen ersten Quellcode erstellen, diesen kompilieren,

Mehr

Überblick: Programmierung der USB-Boards. Erstmalige Inbetriebnahme. Programmiervorgang:

Überblick: Programmierung der USB-Boards. Erstmalige Inbetriebnahme. Programmiervorgang: Überblick: Programmierung der USB-Boards Erstmalige Inbetriebnahme Flip 2.4.6 installieren, Installationspfad ohne Punkte und Leerzeichen wählen! Board an die USB-Schnittstelle anschließen Schiebeschalter

Mehr

Starten der Software unter Windows 7

Starten der Software unter Windows 7 Starten der Software unter Windows 7 Im Folgenden wird Ihnen Schritt für Schritt erklärt, wie Sie Ihr persönliches CONTOUR NEXT USB auf dem Betriebssystem Ihrer Wahl starten und benutzen. Schritt 1. Stecken

Mehr

Benutzung der Avid Liquid Edition Schnittplätze an der Universität Innsbruck

Benutzung der Avid Liquid Edition Schnittplätze an der Universität Innsbruck Benutzung der Avid Liquid Edition Schnittplätze an der Universität Innsbruck Diese Anleitung muss bei jedem Start von Avid Liquid Edition befolgt werden, da sonst das Schneiden der Videos nicht möglich

Mehr

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten In dem Virtuellen Seminarordner werden für die Teilnehmerinnen und Teilnehmer des Seminars alle für das Seminar wichtigen Informationen,

Mehr

Wichtige Hinweise zu den neuen Orientierungshilfen der Architekten-/Objektplanerverträge

Wichtige Hinweise zu den neuen Orientierungshilfen der Architekten-/Objektplanerverträge Wichtige Hinweise zu den neuen Orientierungshilfen der Architekten-/Objektplanerverträge Ab der Version forma 5.5 handelt es sich bei den Orientierungshilfen der Architekten-/Objektplanerverträge nicht

Mehr

Anleitungen zum Publizieren Ihrer Homepage

Anleitungen zum Publizieren Ihrer Homepage Anleitungen zum Publizieren Ihrer Homepage Einrichtung und Konfiguration zum Veröffentlichen Ihrer Homepage mit einem Programm Ihrer Wahl Stand Februar 2015 Die Anleitungen gelten für die Homepage-Produkte:

Mehr

Installationsanweisung Aktivierung für RadarOpus für PC s ohne Internetzugang (WINDOWS)

Installationsanweisung Aktivierung für RadarOpus für PC s ohne Internetzugang (WINDOWS) Installationsanweisung Aktivierung für RadarOpus für PC s ohne Internetzugang (WINDOWS) Dieses Handbuch hilft Anwendern, ihren RadarOpus Dongle (Kopierschutz) auf einem anderen Computer zu aktivieren,

Mehr

Klicken Sie mit einem Doppelklick auf das Symbol Arbeitsplatz auf Ihrem Desktop. Es öffnet sich das folgende Fenster.

Klicken Sie mit einem Doppelklick auf das Symbol Arbeitsplatz auf Ihrem Desktop. Es öffnet sich das folgende Fenster. ADSL INSTALLATION WINDOWS 2000 Für die Installation wird folgendes benötigt: Alcatel Ethernet-Modem Splitter für die Trennung Netzwerkkabel Auf den folgenden Seiten wird Ihnen in einfachen und klar nachvollziehbaren

Mehr

32.4 Anpassen von Menüs und Symbolleisten 795i

32.4 Anpassen von Menüs und Symbolleisten 795i 32.4 Anpassen von Menüs und Symbolleisten 795i Fortsetzung der Seiten in der 8. Auflage 32.4 Anpassen von Menüs und Symbolleisten 32.4.1 Anpassen von Menüs Die Menüs können um folgende Typen von Optionen

Mehr

Schulberichtssystem. Inhaltsverzeichnis

Schulberichtssystem. Inhaltsverzeichnis Schulberichtssystem Inhaltsverzeichnis 1. Erfassen der Schüler im SBS...2 2. Erzeugen der Export-Datei im SBS...3 3. Die SBS-Datei ins FuxMedia-Programm einlesen...4 4. Daten von FuxMedia ins SBS übertragen...6

Mehr

Anleitung zum Upgrade auf SFirm 3.0 + Datenübernahme

Anleitung zum Upgrade auf SFirm 3.0 + Datenübernahme I. Vorbereitungen 1. Laden Sie zunächst die Installationsdateien zu SFirm 3.0, wie in der Upgrade-Checkliste (Schritt 1.) beschrieben, herunter (www.sparkasse-fuerth.de/sfirm30download). 2. Starten Sie

Mehr

Problem crazytrickler unter Windows 8:

Problem crazytrickler unter Windows 8: Problem crazytrickler unter Windows 8: Für die Kommunikation mit dem PC ist im crazytrickler der Chip PL2303HXA/XA zuständig. Er wird unter Windows 8 nicht mehr vom Hersteller Prolific unterstützt. Geräte

Mehr

Schrittweise Anleitung zur Installation von Zertifikaten der Bayerischen Versorgungskammer im Mozilla Firefox ab Version 2.0

Schrittweise Anleitung zur Installation von Zertifikaten der Bayerischen Versorgungskammer im Mozilla Firefox ab Version 2.0 Schrittweise Anleitung zur Installation von Zertifikaten der Bayerischen Versorgungskammer im Mozilla Firefox ab Version 2.0 Diese Anleitung führt Sie Schritt für Schritt durch die komplette Installationsprozedur

Mehr

Einrichten einer Festplatte mit FDISK unter Windows 95/98/98SE/Me

Einrichten einer Festplatte mit FDISK unter Windows 95/98/98SE/Me Einrichten einer Festplatte mit FDISK unter Windows 95/98/98SE/Me Bevor Sie die Platte zum ersten Mal benutzen können, muss sie noch partitioniert und formatiert werden! Vorher zeigt sich die Festplatte

Mehr

Für Kunden die den neuen TopMaps Viewer EINER für ALLE als Betrachtungs-Software verwenden wollen. Top10 V1, Top25 V3, Top50 V5, WR50 V3 DVD s

Für Kunden die den neuen TopMaps Viewer EINER für ALLE als Betrachtungs-Software verwenden wollen. Top10 V1, Top25 V3, Top50 V5, WR50 V3 DVD s Detaillierte Anleitung für Kunden der neuen TopMaps Produkte Für Kunden die den neuen TopMaps Viewer EINER für ALLE als Betrachtungs-Software verwenden wollen Teil 1: Installation der Softwarekomponente:

Mehr

Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken.

Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken. Seite erstellen Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken. Es öffnet sich die Eingabe Seite um eine neue Seite zu erstellen. Seiten Titel festlegen Den neuen

Mehr

Download, Installation und Autorisierung der Lasergene Software. Bitte unbedingt beachten!

Download, Installation und Autorisierung der Lasergene Software. Bitte unbedingt beachten! Download, Installation und Autorisierung der Lasergene Software Bitte unbedingt beachten! Wichtig: Sie müssen für die Installation und Autorisierung als Administrator an Ihrem Computer angemeldet sein.

Mehr

Favoriten sichern. Sichern der eigenen Favoriten aus dem Webbrowser. zur Verfügung gestellt durch: ZID Dezentrale Systeme.

Favoriten sichern. Sichern der eigenen Favoriten aus dem Webbrowser. zur Verfügung gestellt durch: ZID Dezentrale Systeme. Favoriten sichern Sichern der eigenen Favoriten aus dem Webbrowser zur Verfügung gestellt durch: ZID Dezentrale Systeme Februar 2015 Seite 2 von 20 Inhaltsverzeichnis Einleitung... 3 Mozilla Firefox...

Mehr

ARAkoll 2013 Dokumentation. Datum: 21.11.2012

ARAkoll 2013 Dokumentation. Datum: 21.11.2012 ARAkoll 2013 Dokumentation Datum: 21.11.2012 INHALT Allgemeines... 3 Funktionsübersicht... 3 Allgemeine Funktionen... 3 ARAmatic Symbolleiste... 3 Monatsprotokoll erzeugen... 4 Jahresprotokoll erzeugen

Mehr

A.u.S. Spielgeräte GmbH A-1210 Wien Scheydgasse 48 Tel.+43-(0)1-271 66 00 Fax. +43-(0)1-271 66 00 75

A.u.S. Spielgeräte GmbH A-1210 Wien Scheydgasse 48 Tel.+43-(0)1-271 66 00 Fax. +43-(0)1-271 66 00 75 Inhaltsverzeichnis Seite 1. Einleitung. 2 2. Vorraussetzung.. 2 2.1 Software 2 2.2 Hardware.. 2 3. Vorbereitung... 3 4. Programmierung 4 5. Ändern des Schlüssels... 6 6. Test 6 7. Informationen.. 7 1.Einleitung

Mehr

Abhängig von Monitorgrösse und gewählter Auflösung können die Menueleisten etwas anders aussehen als in den Bildern dargestellt.

Abhängig von Monitorgrösse und gewählter Auflösung können die Menueleisten etwas anders aussehen als in den Bildern dargestellt. Einrichten eines Assembler-Projektes IAR-Embedded Workbench 3.* (IAR-EW) Stand 19.01.2005 Diese Anleitung darf unbeschränkt für den privaten und gewerblichen Bereich genutzt werden. Eine Weitergabe an

Mehr

Dieser Ablauf soll eine Hilfe für die tägliche Arbeit mit der SMS Bestätigung im Millennium darstellen.

Dieser Ablauf soll eine Hilfe für die tägliche Arbeit mit der SMS Bestätigung im Millennium darstellen. Millennium SMS Service Schnellübersicht Seite 1 von 6 1. Tägliche Arbeiten mit der SMS Bestätigung Dieser Ablauf soll eine Hilfe für die tägliche Arbeit mit der SMS Bestätigung im Millennium darstellen.

Mehr

Seriendruck mit der Codex-Software

Seriendruck mit der Codex-Software Seriendruck mit der Codex-Software Erstellen der Seriendruck-Datei in Windach Sie können aus der Adressverwaltung von Windach eine sogenannte Seriendruck-Datei erstellen, mit der Sie im Word entweder mit

Mehr

Anleitung zum Upgrade auf SFirm 3.1 + Datenübernahme

Anleitung zum Upgrade auf SFirm 3.1 + Datenübernahme I. Vorbereitungen 1. Laden Sie zunächst die Installationsdateien zu SFirm 3.1, wie in der Upgrade-Checkliste (Schritt 1.) beschrieben, herunter (www.sparkasse-forchheim.de/sfirm) 2. Starten Sie nochmals

Mehr

ACHTUNG: Es können gpx-dateien und mit dem GP7 aufgezeichnete trc-dateien umgewandelt werden.

ACHTUNG: Es können gpx-dateien und mit dem GP7 aufgezeichnete trc-dateien umgewandelt werden. Track in Route umwandeln ACHTUNG: Ein Track kann nur dann in eine Route umgewandelt werden, wenn der Track auf Wegen gefahren wurde. Ein Querfeldein-Track kann nicht in eine Route umgewandelt werden, da

Mehr

Hilfe zur Dokumentenverwaltung

Hilfe zur Dokumentenverwaltung Hilfe zur Dokumentenverwaltung Die Dokumentenverwaltung von Coffee-CRM ist sehr mächtig und umfangreich, aber keine Angst die Bedienung ist kinderleicht. Im Gegensatz zur Foto Galeria können Dokumente

Mehr

Datensicherung. Beschreibung der Datensicherung

Datensicherung. Beschreibung der Datensicherung Datensicherung Mit dem Datensicherungsprogramm können Sie Ihre persönlichen Daten problemlos Sichern. Es ist möglich eine komplette Datensicherung durchzuführen, aber auch nur die neuen und geänderten

Mehr

Handbuch B4000+ Preset Manager

Handbuch B4000+ Preset Manager Handbuch B4000+ Preset Manager B4000+ authentic organ modeller Version 0.6 FERROFISH advanced audio applications Einleitung Mit der Software B4000+ Preset Manager können Sie Ihre in der B4000+ erstellten

Mehr

Netzwerk einrichten unter Windows

Netzwerk einrichten unter Windows Netzwerk einrichten unter Windows Schnell und einfach ein Netzwerk einrichten unter Windows. Kaum ein Rechner kommt heute mehr ohne Netzwerkverbindungen aus. In jedem Rechner den man heute kauft ist eine

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

Anleitung zur Webservice Entwicklung unter Eclipse

Anleitung zur Webservice Entwicklung unter Eclipse Entwicklungsumgebung installieren Sofern Sie nicht an einem Praktikumsrechner arbeiten, müssen Sie ihre Eclipse-Umgebung Webservice-fähig machen. Dazu benötigen Sie die Entwicklungsumgebung Eclipse for

Mehr

LPT1 Anschluss mit PCMCIA Karte

LPT1 Anschluss mit PCMCIA Karte 1. Allgemeines LPT1 Anschluss mit PCMCIA Karte verwendete Hardware: Lenze PC Systembusadapter EMF 2173-V003 PCMCIA Karte Firma QUATECH Typ SPP-100 Auf die Installation der PCMCIA Karte wird hier nicht

Mehr

Einfügen mit Hilfe des Assistenten: Um eine Seite hinzufügen zu können, müssen Sie nur mehr auf ein Symbol Stelle klicken.

Einfügen mit Hilfe des Assistenten: Um eine Seite hinzufügen zu können, müssen Sie nur mehr auf ein Symbol Stelle klicken. 2. SEITE ERSTELLEN 2.1 Seite hinzufügen Klicken Sie in der Navigationsleiste mit der rechten Maustaste auf das Symbol vor dem Seitentitel. Es öffnet sich ein neues Kontextmenü. Wenn Sie nun in diesem Kontextmenü

Mehr

Dateien mit Hilfe des Dateimanagers vom USB-Stick kopieren und auf der Festplatte speichern

Dateien mit Hilfe des Dateimanagers vom USB-Stick kopieren und auf der Festplatte speichern Dateien mit Hilfe des Dateimanagers vom USB-Stick kopieren und auf der Festplatte speichern Allgemein USB-Stick Dateimanager Explorer (Windows XP) - Dateien auf dem USB-STick finden Nachdem der Stick über

Mehr

Kleines Handbuch zur Fotogalerie der Pixel AG

Kleines Handbuch zur Fotogalerie der Pixel AG 1 1. Anmelden an der Galerie Um mit der Galerie arbeiten zu können muss man sich zuerst anmelden. Aufrufen der Galerie entweder über die Homepage (www.pixel-ag-bottwartal.de) oder über den direkten Link

Mehr

PeDaS Personal Data Safe. - Bedienungsanleitung -

PeDaS Personal Data Safe. - Bedienungsanleitung - PeDaS Personal Data Safe - Bedienungsanleitung - PeDaS Bedienungsanleitung v1.0 1/12 OWITA GmbH 2008 1 Initialisierung einer neuen SmartCard Starten Sie die PeDaS-Anwendung, nachdem Sie eine neue noch

Mehr

Anleitung zum Upgrade auf SFirm 3.x + Datenübernahme. I. Vorbereitungen

Anleitung zum Upgrade auf SFirm 3.x + Datenübernahme. I. Vorbereitungen Anleitung zum Upgrade auf 3.x + Datenübernahme I. Vorbereitungen 1. Laden Sie zunächs die Installationsdateien zu 3.x, wie in der Upgrade-Checkliste (Schritt 1.) beschrieben, herunter. 2. Starten Sie nochmals

Mehr

Anleitung zum erstellen einer PDF-Datei aus Microsoft Word

Anleitung zum erstellen einer PDF-Datei aus Microsoft Word Anleitung zum erstellen einer PDF-Datei aus Microsoft Word 1. Vorbereitung des PCs Um dem Tutorial folgen zu können müssen folgende Programme auf Ihrem PC installiert sein: Ghostskript 8.64: Ghostskript

Mehr

Wie benutzt man TortoiseSVN

Wie benutzt man TortoiseSVN Wie benutzt man TortoiseSVN 1 Inhaltsverzeichnis 1.Benötigte Vorkenntnisse...3 2.Benötigte Software...3 3.Schritt 1 Software installieren...3 4.Schritt 2 einen Ordner anlegen...3 5.Schritt 3 Projekt auschecken...4

Mehr

Updateanleitung für SFirm 3.1

Updateanleitung für SFirm 3.1 Updateanleitung für SFirm 3.1 Vorab einige Informationen über das bevorstehende Update Bei der neuen Version 3.1 von SFirm handelt es sich um eine eigenständige Installation, beide Versionen sind komplett

Mehr

Im Folgenden wird Ihnen an einem Beispiel erklärt, wie Sie Excel-Anlagen und Excel-Vorlagen erstellen können.

Im Folgenden wird Ihnen an einem Beispiel erklärt, wie Sie Excel-Anlagen und Excel-Vorlagen erstellen können. Excel-Schnittstelle Im Folgenden wird Ihnen an einem Beispiel erklärt, wie Sie Excel-Anlagen und Excel-Vorlagen erstellen können. Voraussetzung: Microsoft Office Excel ab Version 2000 Zum verwendeten Beispiel:

Mehr

Folgeanleitung für Fachlehrer

Folgeanleitung für Fachlehrer 1. Das richtige Halbjahr einstellen Folgeanleitung für Fachlehrer Stellen sie bitte zunächst das richtige Schul- und Halbjahr ein. Ist das korrekte Schul- und Halbjahr eingestellt, leuchtet die Fläche

Mehr

Konvertieren von Settingsdateien

Konvertieren von Settingsdateien Konvertieren von Settingsdateien Mit SetEdit können sie jedes der von diesem Programm unterstützten Settingsformate in jedes andere unterstützte Format konvertieren, sofern Sie das passende Modul (in Form

Mehr

Achung! User müssen unter Linux schon erstellt sein!

Achung! User müssen unter Linux schon erstellt sein! Beschreibung: Es sollen auf dem Clarkconnect Netzlaufwerke eingerichtet werden, welche dann im Windows gemappt werden können. Achung! User müssen unter Linux schon erstellt sein! Webmin im Browser öffnen.

Mehr

Erzherzog Johann Jahr 2009

Erzherzog Johann Jahr 2009 Erzherzog Johann Jahr 2009 Der Erzherzog Johann Tag an der FH JOANNEUM in Kapfenberg Was wird zur Erstellung einer Webseite benötigt? Um eine Webseite zu erstellen, sind die folgenden Dinge nötig: 1. Ein

Mehr

Version 0.3. Installation von MinGW und Eclipse CDT

Version 0.3. Installation von MinGW und Eclipse CDT Version 0.3 Installation von MinGW und Eclipse CDT 1. Stellen Sie fest, ob Sie Windows in der 32 Bit Version oder in der 64 Bit Version installiert haben. 2. Prüfen Sie, welche Java Runtime vorhanden ist.

Mehr

Starten der Software unter Windows XP

Starten der Software unter Windows XP Starten der Software unter Windows XP Im Folgenden wird Ihnen Schritt für Schritt erklärt, wie Sie Ihr persönliches CONTOUR NEXT USB auf dem Betriebssystem Ihrer Wahl starten und benutzen. Schritt 1. Stecken

Mehr

Anleitung. Für folgende Produkte: BeoSound 5 / BeoSound 5 Encore / DLNA Client Stereoanlagen

Anleitung. Für folgende Produkte: BeoSound 5 / BeoSound 5 Encore / DLNA Client Stereoanlagen Anleitung Musik mit itunes verwalten Fehlende Albumcover ergänzen Für folgende Produkte: BeoSound 5 / BeoSound 5 Encore / DLNA Client Stereoanlagen Voraussetzungen: - itunes 11 - gültiger itunes Account

Mehr

Stefan Draeger Gartenstr. 26 38364 Schöningen. Velleman K8055 Experimentier Board C# Programmieranleitung

Stefan Draeger Gartenstr. 26 38364 Schöningen. Velleman K8055 Experimentier Board C# Programmieranleitung Velleman K8055 Experimentier Board C# Programmieranleitung Seite 1 von 14 Inhaltsverzeichnis Einführung...3 Vorbereitung...3 Compiler...3 K8055 SDK...3 Erster Schritt : Erstellen der DLL...4 Compilieren

Mehr

Installation älterer Programmversionen unter Windows 7

Installation älterer Programmversionen unter Windows 7 Installation älterer Programmversionen unter Windows 7 Obwohl nicht explizit gekennzeichnet, sind alle MAGIX Produkte mit einer 15 im Namen, sowie MAGIX Video Pro X 1.5, Filme auf DVD 8 und Fotos auf CD

Mehr

LEHRSTUHL FÜR DATENBANKEN

LEHRSTUHL FÜR DATENBANKEN LEHRSTUHL FÜR DATENBANKEN Informatik II für Verkehrsingenieure Java & Eclipse Installationsguide Prof. Dr.-Ing. Wolfgang Lehner > Was ist Eclipse? Eine sogenannte Integrierte Entwicklungsumgebung (engl.

Mehr

Excel Pivot-Tabellen 2010 effektiv

Excel Pivot-Tabellen 2010 effektiv 7.2 Berechnete Felder Falls in der Datenquelle die Zahlen nicht in der Form vorliegen wie Sie diese benötigen, können Sie die gewünschten Ergebnisse mit Formeln berechnen. Dazu erzeugen Sie ein berechnetes

Mehr

25 Import der Beispiele

25 Import der Beispiele 25 Import der Beispiele Versuch es nicht mit Gewalt. Nimm einfach einen größeren Hammer (Murphy s Law) 25.1 Einleitung Alle Beispielprogramme dieses Buchs funktionieren mit jeder Java-konformen Entwicklungsumgebung.

Mehr

Anleitung. Einrichtung vom HotSync Manager für den Palm 1550 bis 1800 unter Windows 7. Palm SPT 1500 / 1550 Palm SPT 1700 / 1800. Bits & Bytes Seite 1

Anleitung. Einrichtung vom HotSync Manager für den Palm 1550 bis 1800 unter Windows 7. Palm SPT 1500 / 1550 Palm SPT 1700 / 1800. Bits & Bytes Seite 1 Anleitung Einrichtung vom HotSync Manager für den Palm 1550 bis 1800 unter Windows 7 Palm SPT 1500 / 1550 Palm SPT 1700 / 1800 Bits & Bytes Seite 1 1. Palm einrichten Für die nächsten Schritte nehmen Sie

Mehr

GEORG.NET Anbindung an Ihr ACTIVE-DIRECTORY

GEORG.NET Anbindung an Ihr ACTIVE-DIRECTORY GEORG.NET Anbindung an Ihr ACTIVE-DIRECTORY Vorteile der Verwendung eines ACTIVE-DIRECTORY Automatische GEORG Anmeldung über bereits erfolgte Anmeldung am Betriebssystem o Sie können sich jederzeit als

Mehr

Wir wünschen Ihnen viel Freude und Erfolg mit Ihrem neuen X-PRO-USB-Interface. Ihr Hacker-Team

Wir wünschen Ihnen viel Freude und Erfolg mit Ihrem neuen X-PRO-USB-Interface. Ihr Hacker-Team Installationsanleitung Hacker X-PRO-USB-Controller V2 Software + Interface Sehr geehrter Kunde, wir freuen uns, dass Sie sich für das X-PRO-USB-V2-Interface aus unserem Sortiment entschieden haben. Sie

Mehr

Newsletter. 1 Erzbistum Köln Newsletter

Newsletter. 1 Erzbistum Köln Newsletter Newsletter 1 Erzbistum Köln Newsletter Inhalt 1. Newsletter verwalten... 3 Schritt 1: Administration... 3 Schritt 2: Newsletter Verwaltung... 3 Schritt 3: Schaltflächen... 3 Schritt 3.1: Abonnenten Verwaltung...

Mehr

Erstellen von x-y-diagrammen in OpenOffice.calc

Erstellen von x-y-diagrammen in OpenOffice.calc Erstellen von x-y-diagrammen in OpenOffice.calc In dieser kleinen Anleitung geht es nur darum, aus einer bestehenden Tabelle ein x-y-diagramm zu erzeugen. D.h. es müssen in der Tabelle mindestens zwei

Mehr

Druck von bzw. Scan auf USB-Stick

Druck von bzw. Scan auf USB-Stick Druck von bzw. Scan auf USB-Stick Die Kopierer vom Typ C353 und C552 (das sind die mit dem Farbdisplay) können mit einem USB- Stick benutzt werden. Sie können auf Ihren USB-Stick scannen oder PDF-Dateien

Mehr

Installationsanleitungen

Installationsanleitungen Installationsanleitungen INPA SGBD-Entwicklungsumgebung (EDIABAS) INPA für Entwickler Bevor Sie EDIABAS / INPA installieren können, müssen Sie sich für den Ordner sgref auf smuc0900 freischalten lassen.

Mehr

Bedienungsanleitung Einsatzplanung. Bedienungsanleitung Einsatzplanung. Inhalt. Bedienung einer Plan-Tabelle

Bedienungsanleitung Einsatzplanung. Bedienungsanleitung Einsatzplanung. Inhalt. Bedienung einer Plan-Tabelle Bedienungsanleitung Einsatzplanung Dieses Programm ist lizenzfrei verwendbar und gratis. Das Programm ist mit Excel 2010 erstellt worden und enthält VBA Programmierungen, also Typ.xlm, deshalb werden Sie

Mehr

Seriendruck mit der Codex-Software

Seriendruck mit der Codex-Software Seriendruck mit der Codex-Software Seriendruck mit der Codex-Software Erstellen der Seriendruck-Datei in Windach Sie können aus der Adressverwaltung von Windach eine sogenannte Seriendruck-Datei erstellen,

Mehr

Import des persönlichen Zertifikats in Outlook Express

Import des persönlichen Zertifikats in Outlook Express Import des persönlichen Zertifikats in Outlook Express 1.Installation des persönlichen Zertifikats 1.1 Voraussetzungen Damit Sie das persönliche Zertifikat auf Ihrem PC installieren können, benötigen

Mehr

Tutorial Speichern. Jacqueline Roos - Riedstrasse 14, 8908 Hedingen, 044 760 22 41 jroos@hispeed.ch - www.forums9.ch

Tutorial Speichern. Jacqueline Roos - Riedstrasse 14, 8908 Hedingen, 044 760 22 41 jroos@hispeed.ch - www.forums9.ch Jacqueline Roos - Riedstrasse 14, 8908 Hedingen, 044 760 22 41 jroos@hispeed.ch - www.forums9.ch Tutorial Speichern Wer ein Fotobuch zusammenstellen möchte, der sucht oft auf dem ganzen Computer und diversen

Mehr

KNX BAOS Gadget. Installations- und Bedienanleitung. WEINZIERL ENGINEERING GmbH. DE-84508 Burgkirchen E-Mail: info@weinzierl.de Web: www.weinzierl.

KNX BAOS Gadget. Installations- und Bedienanleitung. WEINZIERL ENGINEERING GmbH. DE-84508 Burgkirchen E-Mail: info@weinzierl.de Web: www.weinzierl. Installations- und Bedienanleitung DE-84508 Burgkirchen E-Mail: info@weinzierl.de Web: www.weinzierl.de 2013-08-12 Seite 1/6 Inhaltsverzeichnis 1. BESCHREIBUNG... 3 2. SYSTEMVORAUSSETZUNGEN... 3 3. INSTALLATION...

Mehr

Der einfache Weg zum CFX-Demokonto

Der einfache Weg zum CFX-Demokonto Der einfache Weg zum CFX-Demokonto Diese Anleitung hilft Ihnen bei der Eröffnung eines Demokontos beim CFX Broker. Jeder Schritt bis zur vollständigen Eröffnung wird Ihnen im Folgenden erklärt. Zur besseren

Mehr

Mitarbeiter-Alarm. 1x Taster mit Kabel zum Anschluss an den seriellen Com-Port (optional) 1x Installationsprogramm auf CD 1x Lizenz

Mitarbeiter-Alarm. 1x Taster mit Kabel zum Anschluss an den seriellen Com-Port (optional) 1x Installationsprogramm auf CD 1x Lizenz Mitgelieferte Komponenten: Mitarbeiter-Alarm 1x Taster mit Kabel zum Anschluss an den seriellen Com-Port (optional) 1x Installationsprogramm auf CD 1x Lizenz Hardware: (optional) Alarmtaster befestigen

Mehr

Installationsanleitung unter Windows

Installationsanleitung unter Windows Installationsanleitung unter Windows Verwenden Sie zur Installation ein Benutzerkonto mit Administratorberechtigung! 1. Download des aktuellen Backup-Client und des Sprachpakets: 1.1 Windows: ftp://ftp.software.ibm.com/storage/tivoli-storage-management/maintenance/client/v6r2/windows/

Mehr