Zusammenfassung Informatik C

Größe: px
Ab Seite anzeigen:

Download "Zusammenfassung Informatik C"

Transkript

1 Zusammenfassung Informatik C Notizen zu den Abschnitten EINFÜHRUNG Einleitung Anwendungsaspekte Geschichtliches Technologischer Fortschritt Typischer Aufbau von Rechnern Organisation der vereinfachten Stackmaschine H Vokabeln in Abschnitt GRUNDLAGEN DER DIGITALEN INFORMATIONSVERARBEITUNG Analoge und digitale Informationsdarstellung Zahlensysteme Umwandlung von Zahlendarstellungen Darstellung negativer Dualzahlen Rechnen mit Dualzahlen Gleitkommazahlen Codes... 7 Vokabeln in Abschnitt SCHALTALGEBRA UND SCHALTFUNKTIONEN Einordnung der Schaltalgebra Eigenschaften der Schaltalgebra Schaltfunktionen Umformung von Schaltfunktionen Boolesche Normalformen... 9 Vokabeln in Abschnitt SCHALTNETZE Definition und Entwurf von Schaltnetzen Minimieren von Schaltfunktionen Minimierungsverfahren nach Karnaugh-Veitch Algorithmisches Verfahren nach Quine-McCluskey Minimierung partiell definierter Schaltfunktionen Minimierung von Schaltnetzen mit mehreren Ausgängen Rechenschaltungen ALUs (Arithmetic Logical Units) und Rechenwerke Weitere wichtige Schaltnetze Schaltnetz-Entwurfsmethoden Laufzeiteffekte in Schaltnetzen Vokabeln in Abschnitt SPEICHERELEMENTE UND REGISTERSCHALTUNGEN Vorbemerkungen Speicherelemente aus Flipflops (Bistabile Kippstufen) Weitere zeitabhängige Schaltglieder Anwendung von Flipflops Registertransfer Operationen auf Registern Datentransfer zwischen Registern Vokabeln in Abschnitt SCHALTWERKE Schaltwerk-Grundlagen Funktionale Beschreibung Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

2 6.3 Schaltwerksentwurf Systematische Analyse von Schaltwerken Andere Schaltwerksrealisierungen Verhaltensbeschreibung auf Register-Transfer-Ebene Entwurf auf Register-Transfer-Ebene Vokabeln in Abschnitt REALISIERUNG VON STEUERWERKEN Grundprinzip Festverdrahtete Steuerwerke Andere Arten von Steuerwerken Vergleich festverdrahteter Steuerwerke Mikroprogrammierte Kontrolleinheiten CPU-Kontrolleinheiten Vorteile der Mikroprogrammierung Vokabeln in Abschnitt MIKROPROZESSOR-GRUNDLAGEN Befehlssatz Architekturen Akkumulatormaschine (z. B. H6809) Assemblerprogrammierung Stackmaschine Registermaschine Vergleich der Befehlssatz-Architekturen Vokabeln in Abschnitt AKTUELLE RECHNER-ARCHITEKTUREN Mikrocontroller ATmega Interrupts Code-Snippets Vokabeln in Abschnitt QUELLENNACHWEIS Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

3 Notizen zu den Abschnitten 1 EINFÜHRUNG 1.1 Einleitung Was ist Technische Informatik? Nachrichtentechnik: Schwerpunkt auf der Codierung und Übertragung von Information (Nachrichten) Datentechnik/Technische Informatik: Schwerpunkt auf der Speicherung und Verarbeitung von Information (Daten) 1.2 Anwendungsaspekte Kommerzielle Datenverarbeitung Technisch-wissenschaftliche Anwendungen Bürokommunikation Prozesssteuerungen (Echtzeitsysteme) Eingebettete Systeme 1.3 Geschichtliches Wichtige Namen: Schickard (vier Grundrechenarten mit 6 stell. Zahlen), Gunter (logarithmischer Rechenschieber), Pascal (6 stellige Addiermaschine), Leibniz (Dualsystem), Jacquard (Lochkarten), Babbage (Entwicklung einer Tabellenüberprüfungsmaschine, wurde aber nicht realisiert), Hollerith (Zählmaschine für Lochkarten -> IBM), Zuse (elektromechanischer Rechner), Aiken (Großrechenanlage MARK I), Von-Neumann (theoretische Rechnerarchitektur) 1.4 Technologischer Fortschritt Moores Law: Verdopplung der Transistoren / Chip alle Monate Entwicklung: kleine Strukturen führen zu höheren Taktfrequenzen, gleichzeitig tritt aber das Problem auf, dass bei kleineren Strukturen eine höhere Leistungsdichte herrscht und es damit zu Wärmeproblemen kommt 1.5 Typischer Aufbau von Rechnern Computer Hauptspeicher Register CPU Algotithmischlogische Einheit (ALU) Systemverbindungen Interne Verbindungen Kontrolleinheit Ablaufsteuerung Kontrollspeicher Eingabe und Ausgabe Register und Dekoder Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

4 1.6 Organisation der vereinfachten Stackmaschine H11 Charakteristika - Datenbus-Breite: 8 Bit (trotz 16-Bit-Operanden) - Adressbus-Breite: 16 Bit - Wortlänge: 16 Bit - 16-Bit-Adressen und 64-KB-Adressraum - Stapel (Keller) im Hauptspeicher - indirekte und indizierte Adressierung (verwendet ein Register zur Speicherplatzersparnis; relative Adressen haben weniger Stellen) Blockdiagramm des H Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

5 Vokabeln in Abschnitt 1 Ubiquitäre Rechner DVA Fetch, decode, execute Datenbus Adressbus Als solche nicht sichtbare (vernetzte) Rechner Datenverarbeitungsanlage Lade, erkenne und führe aus (bezogen auf den Arbeitszyklus mit den Maschinenbefehlen) Zwischen PC-Bestandteile oder Computer (bidirektional) Überträgt nur Speicherdressen, Breite sagt aus, wie viele Adressen im Speicher adressiert werden können (unidirektional) Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

6 2 GRUNDLAGEN DER DIGITALEN INFORMATIONSVERARBEITUNG 2.1 Analoge und digitale Informationsdarstellung Vorteil der digitalen Darstellung im Vergleich zur analogen Darstellung: Genauigkeit der Messung und Verarbeitung wird nicht mehr von physikalischen Effekten beeinflusst, die Messgröße kann durch eine Stufenanzahlerhöhung beliebig gut erfasst werden. Als nachteilige physikalische Effekte gelten Laufzeiten des Signals, Reflektionen des Signals bei Leitungen, verschliffene Signale (statt sauberer Ecken, abgerundet) und klingelnde Signale (statt waagerechter Linien, Wellenschwingungen). Diese Effekte müssen beim Design berücksichtigt werden. Üblich ist die binäre Darstellung. 2.2 Zahlensysteme dezimal (zur Basis 10) dual/binär (Basis 2) oktal (Basis 8) hexadezimal (Basis 16) 2.3 Umwandlung von Zahlendarstellungen binär oktal: 3 Bits einer Binärzahl sind eine Oktalzahl binär hexadezimal: 4 Bits einer Binärzahl sind eine Hexadezimalzahl 2.4 Darstellung negativer Dualzahlen Vorzeichen-Betrags-Darstellung Vor die Zahl wird ein Bit vorgeschoben mit der Bedeutung 0 = positiv und 1 = negativ Komplement-Darstellung Einer-Komplement = einfache Inversion Nachteil: zwei Darstellungen für die Null Zweier-Komplement, wie Einer-K. bloß noch mit der Addition von 1 (größerer Wertebereich) Offset-Darstellung Verschiebung der Null um den halben Wertebereich nach oben durch Setzen des MSB auf 1 für die Zahl Rechnen mit Dualzahlen Addition (wie gewohnt) Subtraktion (entspricht der Addition des Zweier-Komplements) Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

7 Multiplikation Wir fangen beim LSB der Zahl A an und notieren die Zahl B summenartig so, dass nach jedem Bit der Zahl A ein Shift nach rechts (mit der Zahl B) erfolgt. Wenn das aktuelle Bit der Zahl A der 0 entspricht, dann läst man die Zeile aus. Am Ende summiert man alle notierten geshifteten B-Zahlen und erhält so das Ergebnis. Division 1) Bildung des Komplements vom Divisor 2) Notation von rechts unter den Dividend das Komplement 3) Addition a) wenn Übertrag entsteht, dann 1 für das Ergebnisbit notieren und fortfahren b) wenn kein Übertrag, dann 0 notieren fürs Ergebnis und mit vorherigem Ergebnis weitermachen 4) weiter bei 2) bloß mit einem Linksshift 2.6 Gleitkommazahlen Format: Vorzeichenbit * Mantisse * 2 ^ (Exponent) 2.7 Codes BCD / (4 Bit - gewichtet) Jede Ziffer (0,..., 9) wird durch 4 Bit codiert (ähnlich wie BCD, bloß andere Wertigkeiten, 4 Bit gewichtet) Stiebitz / Excess 3 (4 Bit - gewichtet) Genauso wie der BCD, bloß ab niedrigster Wertigkeit von der Ziffer 3 aufwärts. 2 aus 5 (5 Bit - ungewichtet) Es sind immer nur 2 von den 5 Bits auf die 1 gesetzt ermöglicht Fehler-Check Gray Code (4 Bit - ungewichtet) Von Wert zu Wert wird immer höchstens 1 Bit verändert Vokabeln in Abschnitt 2 MSB - LSB Nibble Signed magnitude Most significant Bit least significant Bit 4 Bit einer Hexadezimalzahl Vorzeichen Betrags Darstellung von Dualzahlen Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

8 3 SCHALTALGEBRA UND SCHALTFUNKTIONEN 3.1 Einordnung der Schaltalgebra Die Schaltalgebra ist für die Analyse von Schaltkreisen da und umfasst die Schaltfunktionen Rechenregeln Normalform Minimalisierung Dabei ist die zweiwertige Schaltlogik ( 0 und 1 ) am geläufigsten. 3.2 Eigenschaften der Schaltalgebra Es gelten folgende Sätze 3.3 Schaltfunktionen Einstellige Schaltfunktionen Zweistellige Schaltfunktionen Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

9 Schaltsymbole 3.4 Umformung von Schaltfunktionen Jeder boolesche Ausdruck lässt sich umformen, sodass er durch ein Junktorensystem dargestellt wird. Sheffer-Funktion (NAND) Peirce-Funktion (NOR) 3.5 Boolesche Normalformen Disjunktive kanonische Normalform (DKN) Disjunktiv (mit ODER) verknüpfte Minterme (mit UND), wobei jeder Minterm alle Schaltvariablen enthalten muss. Die Minterme haben jeweils nur bei einer aller möglichen Kombinationen den Wert 1, sonst immer 0. Konjunktive kanonische Normalform (KKN) Konjunktiv (mit UND) verknüpfte Maxterme (mit ODER). Der Maxterm unterscheidet sich dabei insofern vom Minterm, als dass er nur für eine einzige Kombination eine 0 als Wert hat, sonst 1. Die Normalformen lassen sich auch gegenseitig ineinander umformen Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

10 Vokabeln in Abschnitt 3 Schaltalgebra Modell der booleschen Algebra Disjunktion (+) ODER-Verknüpfung Konjunktion (*) UND-Verknüpfung Dualitätsprinzip Jeder Term, der der booleschen Algebra entspricht, kann dual umgeformt werden (1 + 0 = 1, 0 * 1 = 0) Idempotenzgesetz a + a = a, a * a = a Absoptionsgesetz a + (a * b) = a * (a + b) = a, na + (a + b) = 1, na * (a * b) = 0 Funktionstabelle Gehört zu einer Schaltfunktion alle möglichen Kombinationen der Schaltvariablen und Werte der Funktion Sheffer-Funktion NAND ( ) Peirce-Funktion NOR ( ) Junktorensystem / vollständige Mit dieser Verknüpfung können alle möglichen Verknüpfungsbasis Ausdrücke beschrieben werden. Minterm (Vollkonjunktion) UND-Verknüpfung von allen Schaltvariablen der Schaltfunktion, die nur unter einer bestimmten Kombination den Wert 1 hat Maxterm (Volldisjunktion) ODER-Verknüpfung von allen Schaltvariablen der Schaltfunktion, die nur unter einer bestimmten Kombination den Wert 0 hat Disjunktive kanonische Normalform (DKN) Gehe alle f=1 von oben ab und notiere die dazu gebildeten Minterme ODER-verknüpft. Disjunktive Normalform (DNF) Eine vereinfachte (Variablen sind ausgelassen) Variante der kanonischen Normalform Konjunktive kanonische Normalform (KKN) Gehe alle f=1 von unten ab und notiere die dazu gebildeten Maxterme UND-verknüpft. Konjunktive Normalform (KNF) Eine vereinfachte (Variablen sind ausgelassen) Variante der kanonischen Normalform. Antivalenz XOR-Verknüpfung / exklusives ODER Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

11 4 SCHALTNETZE 4.1 Definition und Entwurf von Schaltnetzen Signale an den Ausgängen eines Schaltnetzes hängen zu jedem Zeitpunkt nur von den Zuständen an den Eingängen ab ( kein Gedächtnis)! 4.2 Minimieren von Schaltfunktionen Minimierung von Kosten (Gatter- bzw. Leitungsanzahl) / Realisierungsaufwand Minimierung von Laufzeiten Hier steckt eine gegengerichtete Beziehung drin. Möchte man die Kosten minimieren, so muss man längere Laufzeiten hinnehmen und umgekehrt. Zum Umformen der Gleichungen verwendet man zwei unterschiedliche Termumformungsprinzipien: Als Maß für den Aufwand einer Gleichung verwendet man Längendefinitionen: Lv = Anzahl der Variablenzeichen Ld = Anzahl der Leitungen Lb = Anzahl der nötigen integrierten Bausteine Bei programmierbaren Array-Logik-Bausteinen verwendet man für Lb(A) die Anzahl der Produktterme (&-Verknüpfungen). Terme, die nicht weiter durch Termumformungen verkürzt werden können, bezeichnet man als Primterme bzw. Primimplikanten. Gleichungen mit Primtermen bezeichnet man als Disjunktive Minimalform (DMF) Konjunktive Minimalform (KMF) Von solchen Gleichungen kann es mehrere mit gleicher Länge geben. 4.3 Minimierungsverfahren nach Karnaugh-Veitch Schaltfunktion als KV-Diagramm Das Rechteck wird in 2 N Felder eingeteilt. Die Felderzeilen / -spalten entsprechen den Mintermen der Funktion. Benachbarte Minterme werden verschmolzen (mit möglichst wenigen großen Feldern) Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

12 KMF aus der DMF herleiten bzw. KMF aus den Maxtermen bestimmen: 4.4 Algorithmisches Verfahren nach Quine-McCluskey I. Erstellen der disjunktiven kanonischen Normalform (DKN) DNF: => DKN: Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

13 II. Ermitteln der Primimplikanten - Einteilen der Minterme in Klassen Ki, wobei Ki alle Minterme mit i nichtnegierten Variablen enthält. - Zusammenfassen von Mintermen benachbarter Klassen (in Etappen). - Verschmolzene Minterme werden abgehakt. - Die neu entstandenen Terme höherer Ordnung werden ggf. weiter verschmolzen und abgehakt, bis keine weitere Zusammenfassung möglich ist. - Nicht mehr verschmelzbare Terme bzw. Minterme sind die gesuchten Primimplikanten. III. Bestimmen der wesentlichen Primimplikanten (wesentlich = enthält als einziger einen der Minterme und muss daher unbedingt verwendet werden) IV. Auswahl der minimalen Restüberdeckung (d.h. der durch die wesentlichen Primimplikanten nicht abgedeckten Minterme mittels unwesentlicher Primimplikanten) DMF aufschreiben DMF: Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

14 4.5 Minimierung partiell definierter Schaltfunktionen Bei don t care - Termen kann nach Belieben gewählt werden, ob für die Variable eine 1 oder 0 gilt. So erleichtert man sich die Wahl großer abzudeckender Bereiche. Dazu setzt man die x-stellen bei den Minimierungsverfahren virtuell auf Minimierung von Schaltnetzen mit mehreren Ausgängen Bei mehreren Funktionen erfolgt die Minimierung in mehreren Stufen: 1. Einzelminimierung jeder Funktion im KV-Diagramm 2. Realisierung mit UND / ODER Gattern in einem Schaltbild 3. Vereinfachung durch Mehrfachbenutzung von Termen 4. Vereinfachung durch Abkehr von DMF (Versuche Unterterme umzuformen, sodass insgesamt weniger unterschiedliche Terme vorhanden sind) In KV-Diagrammen lassen sich Mehrfach- Ausgangs-Funktionsvektoren direkt minimieren. Koppelterme sind am mehrmaligen Auftreten der 1 an der gleichen Stellen in den verschiedenen Diagrammen zu erkennen. Es können auch durch das Produkt zweier Funktionen mögliche Koppelterm-Kandidaten gefunden werden, welche dann ausprobiert werden müssen. 4.7 Rechenschaltungen Addierer Halbaddierer: Addiert zwei einstellige Binärzahlen zu einem Summenbit S und Übertrag Ü Volladdierer: Wie der Halbaddierer, bloß dass hier noch ein Übertragbit (Ci-1) berücksichtigt wird. Somit kann er zu breiteren (von der Bitanzahl) Addierern kaskadiert werden. Der Volladdierer kann auch aus zwei Halbaddierern konstruiert werden: Leistungsvergleich von Halb- zum Volladdierer: Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

15 Paralleladdierer: Summen der Stellen werden parallel über eine Gleichung gebildet. Übertrag wird von der niederwertigsten Stelle zur höchstwertigen Stelle fortgepflanzt. Dieser Prozess beeinflusst die Laufzeit, sodass wir für die Laufzeit erhalten: Wir versuchen also, die Carrybits im Voraus zu bestimmen. Dazu erstellen wir zwei Hilfsvariablen (Gi und Pi): Damit lässt sich in nur zwei Gatterlaufzeiten das Ergebnis für jedes Bit ermitteln: 4.8 ALUs (Arithmetic Logical Units) und Rechenwerke Mit einer ALU können arithmetische Operationen (Addition, Subtraktion, Multiplikation) und logische Operationen (UND, ODER, NOR, ) durchgeführt werden. Die Art der Operation wird über Steuersignale an das ALU übergeben Rechenwerke kapseln die Steuerung von Operationen mit der Ausführung der Operationen. Rechts ist ein Beispiel für ein Multiplizier-Rechenwerk Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

16 4.9 Weitere wichtige Schaltnetze Multiplexer Über den Multiplexer kann per Steuerung einer der Eingänge ausgewählt werden, um dann den Wert am ausgewählten Eingang auszugeben. Die Steuerung funktioniert so, dass die Duale Zahl die sich aus den Bits der Steuerung ergibt den Index des Eingangs, der durchgeschaltet werden soll angibt. Busse Da bei Verwendung von z.b. Multiplexern viele Leitungen verwendet werden müssen, spart man sich diese und benutzt stattdessen eine einzige Leitung, auf der die Daten dann zeitlich versetzt gesendet und empfangen werden. Um dies zu bewerkstelligen benutzt man Tristate-Treiber (Ausgang aktiv oder inaktiv). Um Signale nur in eine Richtung durchzulassen werden bei bidirektionalen Bussen Transceiver verwendet. Transceiver Demultiplexer Führen das Gegenteil von den Multiplexern aus: 1x Eingang, viele Ausgänge. Decoder (1-aus-n) Es können Treiber von Bussen mit dem 1-aus-n-Decoder aktiviert werden, denn der Ausgang bei einem y wird dann eins, wenn die Dualzahl am Eingang dem Index des Ausgangs entspricht Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

17 Codierer (Encoder) An den Eingang legt man ein Signal an, bei dem nur ein Bit = 1 ist (1-aus-n-Code). Am Ausgang wird dann der Index des Einser- Bits ausgegeben (als Dualzahl). Beim Paritäts-Codierer bestimmt das Eingangs-Bit mit dem höchsten Index den Ausgang, wenn mehrere Eingangs-Bits 1 sind. Komparatoren Sie vergleichen zwei Dualzahlen nach Ihren Wert (kleiner, gleich oder größer). Die Realisierung ist über ein Schaltnetz oder als Subtrahierer möglich Schaltnetz-Entwurfsmethoden Transformation: UND/ODER NAND Man formt die DMF so um, dass man nur noch NAND Terme aus den Primimplikanten gebildet hat. UND/ODER NOR Man formt die KMF so um, dass man nur noch NOR Terme aus den Primimplikanten gebildet hat. Ein- und Ausgangs-Problematik Standardgatter lassen meist nur eine begrenzte Anzahl von Eingängen zu (z.b. 2 bei UND). Deswegen müssen ggf. schmale Bäume aus den überschüssigen Eingangsleitungen gebildet werden [z.b. (x1 * x2 * x3 * x4 * x5) = (x1 * x2) * (x3 * x4) * (x5 * x5)] Programmierbare Bausteine: Idealer Entwurfsablauf Grundsatz bei der Hardwareentwicklung: Top-Down entwerfen Bottom-Up Implementieren Übersicht Entwurfsebenen: Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

18 Systemebene: Beschreibt die Funktionalität der Schaltung mit dem Ziel einer ersten Aufteilung. Keine Aussagen über das Zeitverhalten, Implementierung oder Verbindungen. Algorithmische Ebene: Erfasst die Schaltung als paralleles System nebenläufiger Algorithmen. Register-Transfer-Ebene: Zeitliches Verhalten und die Verarbeitung von Daten werden beschrieben (mit endlichen Automaten oder Register-Transfer-Sprache) Logikebene: Beschreibung durch Verknüpfung von logischen Grundfunktionen mit diskreten Schaltpegeln. Auch das Zeitverhalten wird berücksichtigt. Schaltkreisebene: Nun werden reale elektronische Bauelemente für die Schaltung verwendet. Die Signale sind jetzt analog (Beschreibung durch DGLs). Mit Hilfe der Hardwarebeschreibungssprache VHDL kann der Entwurf der Schaltung in einer standardisierten Sprache beschrieben sowie optimiert werden Laufzeiteffekte in Schaltnetzen Unter realen Bedingungen treten Laufzeiteffekte auf, da die Signallaufzeit auf unterschiedlichen Leitungen sich unterscheidet. Soll ein aufgegabeltes Signal in der Schaltung wieder in einem Gatter vereinigt werden, so können Glitches auftreten. Man unterscheidet zwischen statische Glitches Es soll eigentlich kein Wechsel im Ausgabewert erfolgen, aber trotzdem liefert der Ausgang kurzzeitig einen anderen Wert. dynamische Glitches Es soll am Ausgang ein Wechsel erfolgen, aber stattdessen fällt das Signal am Ausgang kurz wieder in den alten Zustand zurück. Enthält eine Schaltung potentielle Glitches, so spricht man von Hazards. Funktionshazards entstehen durch den gleichzeitigen Belegungswechsel von mehr als einer Variablen. Strukturhazards entstehen bei Schaltungen mit mehr als einer Stufe durch den Wechsel von einem Block im KV-Diagramm (=Gatter in der Schaltung) in einen angrenzenden Block (keine überlappende Blöcke im KV-Diagramm). Diese Hazards können vermieden werden durch die Realisierung redundanter Primkonjunktionen (überlappende Blöcke im KV-Diagramm) oder durch Taktung. Vokabeln in Abschnitt 4 Hauptsatz der Schaltalgebra Jede boolesche Funktion lässt sich als DNF oder KNF darstellen Primterm / Primimplikant Term in DNF, bei dem kein weiteres Ausklammern mehr Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

19 möglich ist Disjunktive Minimalform (DMF) Kurzmöglichste disjunktive Form, die aber nicht eindeutig sein muss Konjunktive Maximalform (KMF) Kurzmöglichste konjunktive Form (mit UND- Verknüpfungen) Don t care Terme Bei diesen Termen ist es egal, ob eine 0 und 1. Vermaschte Schaltnetze Schaltnetze mit Verzweigungen (eine Leitung teilt sich in mehrere auf) Koppelterme Terme, die in mehreren Schaltfunktionen auftreten (müssen also über Verzweigungen aufgeteilt werden) Ripple-Carry / Carry-Chain-Addierer Paralleladdierer, der das Carrybit von dem LSB zum MSB fortpflanzt Generate-Bit Gibt an, ob ein Carry erzeugt werden soll Propagate-Bit Gibt an, ob ein Carry absorbiert wird (also Carry = 0) oder ob ein Carry übergeben wird (1) Rechenwerke Kombination aus ausführender Hardware und Steuerwerk Glitches kurzzeitige Falschaussage in logischen Schaltungen und temporäre Verfälschung einer booleschen Funktion Hazard In einer Schaltung existieren Hazards, wenn die Möglichkeit besteht, dass Glitches auftreten können Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

20 5 SPEICHERELEMENTE UND REGISTERSCHALTUNGEN 5.1 Vorbemerkungen Grundsätzliche Motivation: Speicherung von Zuständen zeitunabhängig. Speicherelemente nutzen das Prinzip der zustandserhaltenden Rückkopplung, die sich zusätzlich von außen durch Signale steuern lassen kann. Es existieren eine Übergangsfunktion (welcher Zustand tritt bei einem gewissen Eingangsignal dann ein), Ausgangsfunktion (welches Signal gibt das Speicherelement am Ausgang bei einem Zustand aus) und eine charakteristische Gleichung/Funktion (beschreibt das Verhalten des Speichers in knapper Form). 5.2 Speicherelemente aus Flipflops (Bistabile Kippstufen) RS-Flipflop Um die unerlaubte Kombination R = S = 1 zu verhindern, wurden JK-Flipflops entwickelt: JK-Flipflops Wird J = K = 1 angelegt, so toggelt das Flipflop, d.h. es ändert einfach seinen (binären) Zustand. Um das Flipflop nicht mit zwei getrennten Signalen zu steuern, hat man das D-Flipflop entwickelt, was mit einer 1 gesetzt und mit einer 0 zurückgesetzt werden kann. Dies schränkt den Funktionsumfang zwar ein, vereinfacht aber den Umgang. D-Flipflops Um die Vorzüge des Toggelns beim JK-Flipflop und die Einfachheit des D-Flipflops zu verbinden, hat man das T-Flipflop entwickelt: T-Flipflop Wird eine 0 an das T-FF angelegt, so behält es den ursprünglichen Zustand bei, wechselt aber bei einer angelegten 1 in den umgekehrten Zustand. Taktsteuerung Um einem Speicherelement nicht ständig eine Zustandsänderung zu erlauben, kann man es so entwickeln, dass der Zustand nur innerhalb einer bestimmten Taktphase geändert werden kann. Dazu unterscheidet man die folgenden Prinzipien: Zustandssteuerung (Pulstriggerung) Änderungen werden nur in der Taktphase akzeptiert, außerhalb der aktiven Taktphase bleibt der Zustand so, wie er zuletzt (oder auch zu Beginn Master-Slave-Prinzip) in der aktiven Taktphase war. Einflankensteuerung Änderungen können sich nur dann auswirken, wenn gerade eine Flanke (Auf- oder Absteigend) des Taktsignals anliegt. Zweizustandssteuerung (Master-Slave-Prinzip) Eingangsinformation wird wie bei der Zustandssteuerung nur während der aktiven Phase übernommen. Jedoch zählt hier, welches Eingangssignal als erstes anlag, dieses wird dann gespeichert und kann nicht mehr verändert werden. Am Ausgang liegt es aber erst dann an, wenn die inaktive Taktphase beginnt. Zweiflankensteuerung (Master-Slave-Prinzip) Entspricht der Zweizustandssteuerung, bloß dass hier die aktive Taktphase die erste Flanke ist und das Signal dann erst am Ausgang anliegt, sobald die zweite Flanke erreicht wurde Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

21 Schaltsymbole Charakteristische Funktionen 5.3 Weitere zeitabhängige Schaltglieder Monoflops Monoflops nehmen für eine vordefinierte Zeit den aktiven Zustand ein und fallen danach wieder in den Ursprungszustand zurück. Verwendet werden sie als Zeitgeber / Timer. Oszillatoren Sie wechseln ihren Zustand mit einer vorbestimmten Frequenz. So können sie z.b. als Taktgeneratoren verwendet werden. 5.4 Anwendung von Flipflops Register Sie bestehen aus parallel gesteuerten Speicherelementen und können Daten für eine bestimmte Zeit lang speichern. Alle Bits werden hierbei synchron und parallel übernommen. Damit können interne Hazards ausgeschlossen werden. Schieberegister Mit Schieberegistern kann man Bitsätze speichern sowie Bit für Bit nach rechts oder links verschieben. Damit lassen sich z.b. Seriell-Parallel- und umgekehrte Wandler, Datenverzögerer, Multiplikation/Division-Bausteine und Pseudozufallszahlenerzeuger bauen. Schieberegister-Schaltungen lassen sich bequem mit Zustandsgraphen darstellen. Zähler Sie enthalten eine Gruppe von Flipflops, die bei jedem Takt ihren Zustand gemäß einer vorgegebenen Funktion ändern. Man unterscheidet hierbei zwischen Vorwärts- und Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

22 Rückwärtszählern, synchronen und asynchronen Zählern sowie zwischen verschiedenen Code- Zählern. Frequenzteiler Sie können durch die Hintereinanderanordnung von Flipflops die Frequenz in einem bestimmten Verhältnis herunterteilen. Dabei kann prinzipiell jeder Zähler als Frequenzteiler eingesetzt werden (nach dem kompletten Durchzählen wird das nächste FF in der Reihe umgeschaltet). Registerbänke und Speicher Dies bezeichnet die Zusammenfassung mehrerer Register zu Registerbänken. Die einzelnen Register bilden dann ein Datenwort, wobei die Datenwörter nur seriell geschrieben werden können. Die Bits der Wörter hingegen werden wie schon beim Register parallel geschrieben. Damit jedes Register angesprochen werden kann, wird eine matrixförmige Adressierung (spalten- und zeilenweise) mit Adress-Dekodierern realisiert. 5.5 Registertransfer Weil man durch eine synchronisierte Verarbeitung von Daten Hazards vermeiden kann, verwendet man das Prinzip die Daten zwischen verschiedenen Schaltnetzen in Registern zwischenzuspeichern. Die Daten werden also getaktet zwischen zwei (nicht unbedingt verschiedenen) Registern übertragen, wobei sie während der Übertragung ein Schaltnetz durchlaufen. Über ein Schaltwerk wird das Lesen und Schreiben in das Register gesteuert. Man kann also auf einer Registertransfer-Ebene den Schaltungsentwurf darstellen. Das Zeitverhalten ist hierbei immer taktgenau festgelegt. 5.6 Operationen auf Registern Auf einem Register: Nullfunktion (alle Registerelemente werden auf Null gesetzt) Einsfunktion (wie Nullfunktion bloß mit der Eins) Negation / Einerkomplement (alle Bits werden getoggelt) Shift (Verschieben nach links oder rechts) Ringshift (Verschieben, so dass die heraus geschobenen Bits auf der anderen Seite wieder herein geschoben werden) Zählen (zählt in einem bestimmten Code immer um 1 hoch) Schieberegister mit paralleler Ein-/Ausgabe (zur Seriell-Parallel-Wandlung u. vice versa) Generierung von Pseudozufallszahlen (durch Rückkopplung des Schieberegisters) Auf zwei Registern: Parallel-Datentransport ( B A = von A nach B) Seriell-Datentransport (Transport auf einer Leitung) Parallel-Und/Oder-Verknüpfung zweier Register (alle Bits werden verknüpft und das Ergebnis in einem der beiden Register gespeichert) Serienverknüpfung (alle Bits werden der Reihe nach durch eine Verknüpfungseinheit geschleust und das Ergebnis in einem der Register gespeichert) Auf mehr als zwei Registern: Serielle Verarbeitung (Ergebnis wird in einem weiteren Register gespeichert oder die Daten von dem weiteren Register werden mit verknüpft) Komplexere Operationen (asynchrones Paralleladdierwerk = Übertrag wird komplett im Schaltnetz verarbeitet) 5.7 Datentransfer zwischen Registern Zwischen den einzelnen Registern werden die Daten auf Bussen uni- oder bidirektional transportiert. Dabei unterscheidet man zwischen zwei Busstrukturen: Dedizierte Busse (einzelne Punkte werden einzeln verbunden) Vorteil: schnelle und konfliktfreie Verbindung Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

23 Nachteil: erhöhter Aufwand Common shared bus Vorteil: geringer Aufwand, da nur ein Leitungsstrang notwendig Nachteil: Konflikte müssen erkannt und das Transfervolumen beschränkt werden. Zustandsgraphen Ringzähler transparent Vokabeln in Abschnitt 5 retardiert Registerelemente Dedizierte Busse common shared bus Beschreiben das funktionale Verhalten vollständig, aber ohne Zeitbezug Sobald die größtmögliche Zahl von dem Zähler ausgegeben wurde, wird wieder die kleinstmögliche Zahl ausgegeben. Die Änderung am Eingang eines FF wird direkt am Ausgang sichtbar. retardiert <> transparent einzelne Speicherzellen (Bits) des Registers Punkt-zu-Punkt Verbindungen gemeinsamer Bus (alle Daten laufen über einen Bus) 6 SCHALTWERKE 6.1 Schaltwerk-Grundlagen Verarbeiten Schaltvariablen so, dass der Wert am Ausgang zu einem Zeitpunkt von den Werten am Eingang sowie den (endlich vielen) Vorgängerzuständen abhängt. Unterschied: synchrone Schaltwerke: unabhängiger Takt sorgt für taktsynchrone Zustände asynchrone Schaltwerke: Ändern sich die Engabesignale, so ändert sich auch unmittelbar der Zustand (kein synchronisierender Takt). Beschreibung erfolgt durch endliche Automaten (jeder endl. Automat kann in ein Schaltwerk überführt werden) Mealy-Automat Reagiert sofort auf Eingangssignaländerungen Ausgabe ist abhängig von Eingabe und Zustand Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

24 Moore-Automat Ausgabe hängt hier nur von dem inneren Zustand ab und nicht von der Eingabe. Als Sonderfall existiert der vorauslaufende Moore-Automat. 6.2 Funktionale Beschreibung Zustandsübergangstabelle Es werden für alle Eingangsvariablen und Zustände die Folgezustände und Ausgangsvariablen in einer Wahrheitstafel aufgeführt. Daraus lassen sich dann die Schaltfunktionen (Ausgabe- und Übergangsfunktion) herleiten. Zustandsübergangsgraph Zu jedem Zeitpunkt gibt es immer nur genau einen Zustand. Und als Moore-Automat: (die Ausgaben sind nun in den Zuständen notiert) Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

25 6.3 Schaltwerksentwurf Worauf sollte noch geachtet werden: Eingangsgrößen (Don t Cares) erkennen Ausgabegrößen erkennen Zustände des Systems erkennen (Achtung: Die Zustandsmenge und Kodierung sollte man möglichst klein und einfach halten!) Übergangsfunktion und Ausgabefunktion ableiten Zusätzliche hilfreiche Schritte: Typische Ein- und Ausgabesequenz zum Durchprobieren konstruieren Passenden Automatentyp wählen Bei neuen Kanten sollte man überprüfen, ob sie nicht in bereits vorhandene Zustände führen könnten. Fertigen Zustandsgraphen auf korrekte Funktion überprüfen (z.b. mit typischer Sequenz). Prüfungen bei vollständig spezifizierten Zustandsgraphen: Es gibt mind. 1 Folgezustand: ODER-Verkn. aller Übergangsbedingungen muss wahr sein Es gibt genau 1 Folgezustand: UND-Verkn. aller Übergangsbedingungen paarweise darf niemals wahr sein Einsparung redundanter Zustände: Äquivalente Zustände erkennt man daran, dass bei jeder einzelnen Eingabe die Ausgaben identisch sind und die Folgezustände äquivalent sind. Einsparung durch den Zustandsgraphen Einsparung durch die Tabellenmethode Zunächst notiert man alle Zustände in die gleichen Gruppen, die die gleichen Ausgaben erzeugen. In den nächsten Schritten werden die Folgezustände untersucht. Dazu werden die Folgezustände für jeden Zustand einer Gruppe notiert und anschließend geschaut, ob alle Folgezustände (für je eine Eingabekombination) in einer Gruppe komplett von einer der vorhandenen Gruppen abgedeckt werden. Wenn nicht, so wird die Gruppe so aufgetrennt, dass alle Folgezustände von den Zuständen einer vorhandenen Gruppe abgedeckt werden. Dann wird dieser Ablauf mit den neu entstandenen Gruppen wiederholt. Einsparung durch das Implikationstafelverfahren In einer Tafel werden Felder für alle möglichen Kombinationen geschaffen. Dann werden die Folgezustände für alle Kombinationen mit der gleichen Ausgabe in die Felder geschrieben. Felder, für die die Ausgaben unterschiedlich sind werden durch ein X markiert. Im weiteren Verlauf wird zyklisch überprüft, ob mindestens eine Zustandskombination in den ausgefüllten Feldern auf ein X-Feld verweist. Wenn ja, so wird hier auch ein X hineingeschrieben. Schließlich erhält man in den Feldern die äquivalenten Zustandspaare. 6.4 Systematische Analyse von Schaltwerken Klassifikation des Schaltwerks (a-/synchron; autonom/abhängig von Eingängen; Mealy/Moore) Darstellung als Automat Ermittlung der Vektorkomponenten Ausgangs- und Übergangsfunktionen beschreiben Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

26 Aufstellen der Zustandstabelle Zeichnen des Zustandsgraphen 6.5 Andere Schaltwerksrealisierungen Statt immer fest verdrahtete Flipflops zu verwenden, kann man auch programmierbare Varianten benutzen: 6.6 Verhaltensbeschreibung auf Register-Transfer-Ebene Bei dieser Form der Beschreibung konzentriert man sich auf den Transport der Daten und darauf anwendbare Operationen. Es gibt hierbei zwei Formen von Signalen, die den Transport beeinflussen: Steuersignale (zur Wahl der Operation und Steuerung des Datenstroms) und Statussignale (zur Kontrolle der Korrektheit des Ablaufs mit Kriterien). Zudem unterteilt man die Sicht auf das Operationswerk (aus Schaltnetzen und Registern zum Verarbeiten der Daten) und das Steuerwerk (aus Schaltwerken zum Kontrollieren der Daten): Operationswerk Führt Transporte und Verknüpfungen durch. Besitzt Kontrollpunkte, an denen Operationen durch Kontrollsignale ausgelöst werden können Parallele Aktivierung mehrerer Aktionen pro Takt ist möglich. Steuerwerk Steuert den sequentiellen Ablauf der Steuersignale. Legt fest, welche Signale gleichzeitig anliegen. Sorgt für das richtige Timing. Fest verdrahtet oder Programmierbar. Jede RT-Beschreibung besteht aus den beiden Teilen: Deklarationsteil und Anweisungsteil. Vorgehensweise: 6.7 Entwurf auf Register-Transfer-Ebene Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

27 Vokabeln in Abschnitt 6 Zustandsautomat Vollständig spezifizierter Zustandsgraph Kontrollpunkte NOP-Statement Synonym für den Moore-Automaten (= zustandsorientiertes Schaltwerk) Für alle möglichen Eingangskombinationen ist jeweils genau eine abgehende Kante von jedem Zustand vorhanden / definiert. Es gibt keine Don t Cares. Steuern den Datentransfer (aus UND-Gattern und Multiplexern) No Operation (verbraucht aber Zeit) 7 REALISIERUNG VON STEUERWERKEN 7.1 Grundprinzip Das Steuerwerk ist dazu da, den Ablauf in dem Operationswerk zu steuern. Dazu werden häufig Steuersignale von außen verarbeitet und nach außen generiert. Timingprobleme werden dadurch gelöst, dass die positive Flanke des Taktes für die Flipflops des Steuerwerks und die negative Flanke für die des Operationswerks genutzt wird (Master/Slave Prinzip). grundlegende Realisierungsprinzipien: Zustandstabellen-Verfahren (Moore- oder Mealy-Automat) Verzögerungselemente (delay-element method) Zählersteuerung (sequence-counter method) Dem gegenüber steht das mikroprogrammierte Prinzip, bei dem das Generieren eines Steuersignals als Mikrobefehl aufgefasst wird Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

28 7.2 Festverdrahtete Steuerwerke Zweiphasensteuerung: Verwendbar in RTeasy mit Pipe-Operator ( ) Teilt den Takt in zwei aufeinander folgende Phasen auf. In der zweiten Flanke wird ausgewertet, was als Reaktion auf die Operationen in der ersten Flanke passieren soll. Nach dem Pipe-Operator darf nur eine goto-anweisung stehen. 7.3 Andere Arten von Steuerwerken One-Hot Design (mit Verzögerungselementen) Die aufeinander folgende Aktivierung von Steuersignalen wird durch eine Kette von Verzögerungselementen durchgeführt. Dabei wird ein Zustand von genau einem Flipflop, das den Wert 1 hat, repräsentiert. Es muss daher immer nur ein einziges Delay-Element zu einem Zeitpunkt aktiv sein. Es kann Mealy- als auch Moore-Timing implementiert werden. Zwar werden beim One-Hot Design in der Regel mehr Flipflops verwendet, jedoch ist das Schaltbild übersichtlicher und einfacher Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

29 Zählersteuerung: Verarbeitung wird in zwei Ebenen aufgeteilt: Steuersignale werden in einzelnen Phasen von mehreren Schritten erzeugt. Ein Zählwerk durchläuft eine bestimmte Anzahl an Phasen (für jeden Schritt). Der aktuelle Schritt wird durch ein aktives Flipflop repräsentiert. Die Anzahl der Phasen sollte der mindestens der maximalen Anzahl an Takten pro Schritt betragen. Umschalten zwischen den Schritten erfolgt durch logische Verknüpfung von Kriterien und externen Signalen. Die Zählersteuerung kann nur mit Mealy-Timing arbeiten. Ohne ein vorzeitiges Zurücksetzen des Zählers kann es zu Leertakten kommen. 7.4 Vergleich festverdrahteter Steuerwerke Einsatz: Zustandstabelle Verfahren für kleine Zahl an Zuständen, Mealy- und Moore-Timing, schwierige Fehlersuche, nicht leicht zu ändern Einsatz: Verzögerungskette Einfacher Entwurf, Mealy- und Moore-Timing, geringer Logikaufwand, hoher Aufwand an Flipflops, gut wartbar Einsatz: Zählersteuerung Gut für Algorithmen mit Schleifen geeignet, Kriterien mit zusätzlicher Logik, Mealy-Timing, Leerzyklen können auftreten 7.5 Mikroprogrammierte Kontrolleinheiten Um flexibler vorzugehen als bei festverdrahteten Schaltungen verwendet man für das Steuerwerk einen standardisierten Befehlssatz von Mikrobefehlen mit dem man dann einen Kontrollalgorithmus in einen Programmspeicher schreiben kann. Das Programm wird dann Befehl für Befehl abgearbeitet. Ablauf bei einem Mikroprogramm: Befehle sind in einem (Nur-Lese-) Programmspeicher abgelegt. Ein Register speichert den aktuellen Zustand der Steuereinheit. Eine Adressierungseinheit bestimmt anhand der Eingangssignale und dem aktuellen Zustand in dem Register den nächsten auszuführenden Befehl. 1) Mikrobefehl wird aus dem Programmspeicher gelesen. 2) Der auszuführende Befehl wird in das Mikroinstruktionsregister (µir) geschrieben. 3) Der Inhalt vom µir wird ausgewertet und die passenden Kontrollsignale werden ausgegeben. Bedingungen zum Ermitteln des nächsten Mikrobefehls werden geprüft. 4) Adresse des nächsten Befehls wird in das Mikrobefehlsregister (µpc) geladen bzw. der Adresszähler inkrementiert. Adressfortschaltung: Normaler Ablauf (Inkrementieren des Adresszählers) Explizite vordefinierte Verzweigungen mit Zieladresse Bedingte Verzweigung (kodiert durch einen Multiplexer) Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

30 Mikrobefehlsformate: Horizontales Format Vertikales Format Mischform / Quasihorizontal Variables Format einzelne Bits entsprechen direkt den Kontrollsignalen (im Kontrollfeld), alle Angaben zur Bestimmung der Adresse des nächsten Befehls unmittelbar enthalten Da in den meisten Fällen nicht alle möglichen Kombinationen der Kontrollsignale im horizontalen Format benötigt werden, kodiert man hier die einzelnen sinnvollen Kombinationen der Kontrollsignale. Somit hätte man kürzere Kontrollwörter (weniger Bitstellen), bräuchte aber einen zusätzlichen Decoder, um sie zu entschlüsseln. Dies nimmt auch eine längere Laufzeit in Anspruch. Neben der Kodierung des Kontrollteils kann man auch die ganzen Mikrobefehle kodieren (Variante). Hier werden mehrere Felder dem Mikrobefehle zusammengefasst und für sich vertikal kodiert. Das resultiert in einem komplizierten Decoder. Hier unterscheidet man zwischen einem Steuer- und einem Sprungbefehl und signalisiert durch ein Indikatorbit, worum es sich handelt. Dann kann die Kodierung von Folgeadresse und Kontrollbits in einem Feld untergebracht werden. Die Einschränkung hierbei ist, dass man dann nicht in einem Takt springen und steuern könnte. Bei der Umwandlung eines RT-Programms in ein Mikroprogramm muss beachtet werden, dass in einem Mikrobefehl nur 2-fach Verzweigungen (Sprung oder keine Sprung) möglich sind. Aus diesem Grund müssen if-verschachtelungen umgeschrieben werden. Deswegen sind mikroprogrammierte Steuerwerke häufig langsamer als festverdrahtete. 7.7 CPU-Kontrolleinheiten Wir entwickeln eine CPU, die eine gewisse Anzahl von Maschinenbefehlen in ein Mikroprogramm automatisch übersetzt. Wir müssen uns somit nur noch über die Anordnung der Befehle Gedanken machen, aber nicht mehr darüber, was im Hintergrund dazu geschieht. Man unterscheidet bei allen CPUs zwischen zwei unterschiedlichen Betriebsschritten: FETCH Cycle zum Holen des nächsten Befehls Execution Phase zum Ausführen des Befehls Unsere erste CPU (die HAM) besteht aus drei miteinander verknüpften Teilen: Rechenwerk zum Ausführen von arithmetischen Operationen auf einem Akkumulator Steuerwerk zum Steuern des Programmablaufs Speicher und Eingabe/Ausgabe Schnittstelle 7.8 Vorteile der Mikroprogrammierung Systematischer Entwurf und standardisiertes Vorgehen möglich Nachträgliche Änderungen (Erweiterung) sind leicht durchführbar. Einfaches Emulieren von anderen Maschinen in Hardware. Ein wesentlicher Nachteil der Mikroprogrammierung ist die langsamere Abarbeitung von Ähnlicher Funktionalität im Vergleich zur festverdrahteten Variante Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

31 Vokabeln in Abschnitt 7 Pipe-Operator Delay Element Mikrobefehl Control Memory (CM) Condition select (CS) Branch adress / Adress field Control field ISA-Architektur Akkumulator Mikroprogrammierbare Systeme Dynamische Mikroprogrammierung Nano-Programmierung teilt einen Takt in zwei Phasen auf (Zweiphasensteuerung) Symbolisiert genau einen aktiven Zustand, enthält im Inneren ein D-Flipflop Atomarer Bestandteil einer mikroprogrammierten Steuereinheit. Er enthält parallel alle Steuersignale und Angaben für den nächsten Befehl. Mikroprogrammspeicher (engl.) Bedingungsselektion spezifiziert die Bedingungen für bedingte Verzweigungen. Enthält die Folgeadresse bei Sprungbefehlen. Das Kontrollfeld enthält die Kontrollsignale. Es gibt eine Sammlung an Befehlen, mit der die CPU umgehen kann(instruction Set Architecture). Ein Register in der CPU, worauf arithmetische Operationen ablaufen können. Das Mikroprogramm ist vom Anwender programmierbar. Der Befehlssatz kann während der Laufzeit umgeschaltet werden. Um zusätzlich den Speicherbedarf zu verringern wird hierbei eine zweite Programmierstufe eingesetzt, bei der für jeden Mikrobefehl wiederum eine Sequenz von Nano-Anweisungen abgelegt ist Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

32 8. MIKROPROZESSOR-GRUNDLAGEN 8.1 Befehlssatz Architekturen Um eine möglichst flexible Hardware zu konzipieren, die viele verschiedenen Funktionen abdecken kann, hat man die Prozessoren mit Mikroprogrammierung entwickelt. So braucht man für eine andere Funktion nur das Mikroprogramm austauschen, kann aber dieselbe Hardware verwenden. Außerdem geschieht die Entwicklung der Mikroprogramme einheitlich nach dem gleichen Standard. Man erhält also eine Universalhardware. Kern dieser Hardware ist ein Mikroprozessor, der nach dem von- Neuman-Prinzip arbeitet. 8.2 Akkumulatormaschine (z. B. H6809) Die Akkumulatormaschine arbeitet auf einem oder zwei Registern, auf welchen auch die Arithmetisch- Logischen Operationen durchgeführt werden. Dazu wird gegebenenfalls ein zweiter Operand aus dem Speicher geholt. 8.3 Assemblerprogrammierung Assembler übersetzen Quellcode in mnemonischer Notation in ein binäres Maschinenprogramm (Ersetzung von Text durch Binärzahlen). Assembler-Entwicklungsumgebungen (z.b. AVRStudio) enthalten als Unterstützung einen Editor, Debugging Hilfsmittel und einen Lader. Der Lader lädt den übersetzten Code direkt in den Speicher des Zielsystems und startet die Ausführung oder erzeugt eine Datei im Hex-Format mit dem assemblierten Code. Vorgehensweise bei der Assemblerprogrammierung: Programmablaufplan erstellen Bestimmung der erforderlichen Konstanten und Variablen Register- und Speicherbelegung festlegen -> Unterscheidung zwischen Programm und Daten Labels für Variablen und Abschnitte vergeben Initialisieren von Variablen und des Stackpointers vornehmen Programmfunktionalität in Kommentaren verfassen Dann erst in Programmierbefehlen ausprogrammieren. Speicheraufteilung: Programm- und Datenbereich wächst von niedrigen zu höheren Adressen. Stack wächst dagegen von höheren zu niedrigen Adressen. Unterprogramme: Unterprogramme entsprechen Methoden, die beliebig häufig aufgerufen werden können. Beim Aufruf der Unterprogramme kann man Parameter übergeben (z.b. Werte im Register oder auf einem Stack) und Rückgabewerte zurückerhalten. Für den Programmierer gilt es zu beachten, dass im Stackpointer die Rückkehradresse gespeichert wird, zu der nach dem Unterprogramm gesprungen wird. Dazu muss der Stackpointer unbedingt initialisiert werden Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

33 Makro: Im Gegensatz zu den Unterprogrammen werden Makros zur Assemblierzeit komplett in den Code an der verwendeten Stelle ausgeschrieben. Das führt zu einem höheren Speicherverbrauch, wenn das Makro mehrmals verwendet wird, als bei Unterprogrammaufrufen. Ein Vorteil von Makros ist die Sorglosigkeit um eine Rücksprungadresse und eine problemlose Übergabe von Parametern an das Makro: Aufruf mit MULT $12 $6 (rechnet 12 * 6) Innerhalb des Makros kann auf die Parameter über und die Nummer des Parameters (0-9) zugegriffen werden: LDA #@0 (lade in A die $12) 8.4 Stackmaschine Diese Form einer CPU verzichtet komplett auf Arbeitsregister und verwendet stattdessen für alle Operationen einen Stack. In der Praxis werden solche reinen Stackmaschinen kaum verwendet aber trotzdem bieten viele Prozessoren Stackmechanismen an. Als Beispiel für eine reine Stackmaschine behandeln wir die hypothetische Stackmaschine H Registermaschine Die Registermaschine besitzt einen Satz von Universalregistern die die Aufgabe von Akkumulatoren übernehmen. Darunter gibt es unterschiedliche Architekturen: Register-Memory Register dienen als Quelloperanden und Zieloperanden Speicherwort dient als zweiter Quelloperand z.b. ADD R1, R3, B (= R1<-R3 + MEM(B)) Memory-Memory Quelle und Ziel können beide im Speicher liegen (heute kaum noch in Verwendung). Register-Register Verknüpfungsoperationen haben nur Registeroperanden (Speicherverkehr nur über LOAD/STORE-Befehle) Der Vorteil dessen ist, dass die Befehle eine feste Länge haben und es einfacher ist Code zu generieren. Nachteilig wirkt sich jedoch aus, dass es damit mehr Befehle gibt und der Code letztendlich länger wird. 8.7 Vergleich der Befehlssatz-Architekturen Fazit Registermaschinen mit LOAD/STORE Architektur sind ein sehr guter Kompromiss aus Hardwareaufwand (Kosten) und Geschwindigkeit. Makroassembler 8.8 Vokabeln in Abschnitt 8 Von-Neumann-Prinzip RISC-Prozessoren Assembler, die auch Makros unterstützen (Ersetzung von Befehlen durch einmal definierte Code-Passagen) Computer, der aus einem Prozessor, einer Speichereinheit und einer Ein- und Ausgabeeinheit besteht. Reduced Instruction Set Computer (reg-reg-architektur) Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

34 9. AKTUELLE RECHNER-ARCHITEKTUREN 9.1 Mikrocontroller Unter den Mikrocontrollern versteht man Rechner mit CPU, Speicher und Schnittstellen für die Ein- und Ausgabe, die auf einem einzigen Chip untergebracht sind. Mit ihnen sollen eingebettete Systeme realisiert werden, die auf möglichst wenig externe Hardware zugreifen und kostengünstig sind. 9.2 ATmega16 Eigenschaften: 32 Universalregister mit je 8-Bit Breite (Davon letzten 6 Register paarweise als 16 Bit Register nutzbar) RISC-Architektur mit 131 Befehle mit 1 oder 2 16-Bit-Worten Bis zu 16 MHz Takt (Fetch-during-execute Prinzip) 16 KByte Programmspeicher 1 KByte separater Datenspeicher (Harvard Architektur) Besonderheiten: Der Stackpointer und das Statusregister (mit den Statusflags) liegen im Datenspeicher. Tipps zur Assembler-Programmierung: Allen Registern mit.def-direktive aussagekräftige symbolische Namen vergeben. Deklarationen, Makros und ähnliches kann in einer Include-Datei abgelegt werden und durch die.include Datei.INC -Direktive eingebunden werden. Als Pointer auf den Datenspeicher sollten X, Y, Z-Register verwendet werden Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

35 Aus dem Programmspeicher kann nur mit dem Z-Register gelesen werden. Für konstante Werte und Zugriff auf einzelne Bits sollten die Register R16 R23 verwendet werden. Zugriff auf die Eingabe- und Ausgaberegister sollte über die IN- und OUT-Befehle geschehen. Stackpointer muss immer vor Gebrauch initialisiert werden. Assembler-Direktiven:.ORG $2000 markiert die Position des nachfolgenden Codes im Speicher (hier an Adresse 0x2000).BYTE 2 reserviert (hier 2) Speicherplatz für einen Byte-Wert.DB $FF reserviert Speicherplatz für eine 8-Bit Konstante (hier 0xFF).DW $FF00 reserviert Speicherplatz für eine 16-Bit Konstante (hier 0xFF00).EQU $1234 das vorher stehende Label wird durch den nachstehenden Ausdruck (0x1234) ersetzt.exit markiert das Ende des Programms.CSEG markiert einen Code-Abschnitt 9.3 Interrupts Durch ein Interrupt kann eine Programmabarbeitung abgebrochen werden und eine definierte Unterroutine abgearbeitet werden. Die Unterbrechung kann asynchron einsetzen. Nachdem die zum Interrupt gehörigen Programmschritte abgearbeitet wurden, wird der vorherige Programmablauf fortgesetzt. Das unterbrochene Programm bemerkt nichts von der Unterbrechung (wenn die Interrupt-Routine frei von Seiteneffekten ist). 9.4 Code-Snippets Interrupts einschalten: Vektor-Tabelle:.ORG $0000 JMP Init JMP start Initialisieren des Stack-Pointers:.def work = r17 LDI work, high(ramend) OUT SPH, work LDI work, low(ramend) OUT SPL, work Im Programm-Code-Teil (Reaktion auf positive Flanke an PIN PD2): IN work1, MCUCR SBR work1, (1<<ISC01) (1<<ISC00) OUT MCUCR, work1 IN work1, GICR SBR work1, (1<<INT0) OUT GICR, work1 SEI 9.5 Vokabeln in Abschnitt 9 Fetch-during-execute Harvard Architektur Interrupt-Service-Routine / Interrupt- Handler Während der Ausführung eines Befehls wird bereits der nächste Befehl geholt, um Laufzeit zu sparen. Der Befehlsspeicher ist physisch vom Datenspeicher getrennt und beide werden über getrennte Busse angesteuert. Der Vorteil dieser Architektur besteht darin, dass Befehle und Daten gleichzeitig geladen, bzw. geschrieben werden können. Programmschritte, zu denen gesprungen wird, wenn ein bestimmter Interrupt eingetroffen ist Zusammengestellt in 2010 Sharing Welcome! wladislaw.gusew.de

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

5 Verarbeitungsschaltungen

5 Verarbeitungsschaltungen 5 Verarbeitungsschaltungen Folie 1 5 Verarbeitungsschaltungen Häufig genutzte Funktionen gibt es als fertige Bausteine zu kaufen. 5.1 Addierer logische Schaltungen zur Addition zweier Dualzahlen Alle Grundrechenarten

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Algorithmen zur Integer-Multiplikation

Algorithmen zur Integer-Multiplikation Algorithmen zur Integer-Multiplikation Multiplikation zweier n-bit Zahlen ist zurückführbar auf wiederholte bedingte Additionen und Schiebeoperationen (in einfachen Prozessoren wird daher oft auf Multiplizierwerke

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

183.580, WS2012 Übungsgruppen: Mo., 22.10.

183.580, WS2012 Übungsgruppen: Mo., 22.10. VU Grundlagen digitaler Systeme Übung 2: Numerik, Boolesche Algebra 183.580, WS2012 Übungsgruppen: Mo., 22.10. Aufgabe 1: Binäre Gleitpunkt-Arithmetik Addition & Subtraktion Gegeben sind die Zahlen: A

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung.

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung. Lineare Gleichungen mit einer Unbekannten Die Grundform der linearen Gleichung mit einer Unbekannten x lautet A x = a Dabei sind A, a reelle Zahlen. Die Gleichung lösen heißt, alle reellen Zahlen anzugeben,

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Mag. Christian Gürtler Programmierung Grundlagen der Informatik 2011 Inhaltsverzeichnis I. Allgemeines 3 1. Zahlensysteme 4 1.1. ganze Zahlen...................................... 4 1.1.1. Umrechnungen.................................

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Binäre Gleitkommazahlen

Binäre Gleitkommazahlen Binäre Gleitkommazahlen Was ist die wissenschaftliche, normalisierte Darstellung der binären Gleitkommazahl zur dezimalen Gleitkommazahl 0,625? Grundlagen der Rechnerarchitektur Logik und Arithmetik 72

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Daten verarbeiten. Binärzahlen

Daten verarbeiten. Binärzahlen Daten verarbeiten Binärzahlen In Digitalrechnern werden (fast) ausschließlich nur Binärzahlen eingesetzt. Das Binärzahlensystem ist das Stellenwertsystem mit der geringsten Anzahl von Ziffern. Es kennt

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Wintersemester Maschinenbau und Kunststofftechnik. Informatik. Tobias Wolf http://informatik.swoke.de. Seite 1 von 18

Wintersemester Maschinenbau und Kunststofftechnik. Informatik. Tobias Wolf http://informatik.swoke.de. Seite 1 von 18 Kapitel 3 Datentypen und Variablen Seite 1 von 18 Datentypen - Einführung - Für jede Variable muss ein Datentyp festgelegt werden. - Hierdurch werden die Wertemenge und die verwendbaren Operatoren festgelegt.

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Grundlagen der Theoretischen Informatik, SoSe 2008

Grundlagen der Theoretischen Informatik, SoSe 2008 1. Aufgabenblatt zur Vorlesung Grundlagen der Theoretischen Informatik, SoSe 2008 (Dr. Frank Hoffmann) Lösung von Manuel Jain und Benjamin Bortfeldt Aufgabe 2 Zustandsdiagramme (6 Punkte, wird korrigiert)

Mehr

Kap 4. 4 Die Mikroprogrammebene eines Rechners

Kap 4. 4 Die Mikroprogrammebene eines Rechners 4 Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten (Befehl holen, Befehl dekodieren, Operanden holen etc.).

Mehr

Binärdarstellung von Fliesskommazahlen

Binärdarstellung von Fliesskommazahlen Binärdarstellung von Fliesskommazahlen 1. IEEE 754 Gleitkommazahl im Single-Format So sind in Gleitkommazahlen im IEEE 754-Standard aufgebaut: 31 30 24 23 0 S E E E E E E E E M M M M M M M M M M M M M

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

S7-Hantierungsbausteine für R355, R6000 und R2700

S7-Hantierungsbausteine für R355, R6000 und R2700 S7-Hantierungsbausteine für R355, R6000 und R2700 1. FB90, Zyklus_R/W Dieser Baustein dient zur zentralen Kommunikation zwischen Anwenderprogramm und dem Modul R355 sowie den Geräten R6000 und R2700 über

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

2 Darstellung von Zahlen und Zeichen

2 Darstellung von Zahlen und Zeichen 2.1 Analoge und digitale Darstellung von Werten 79 2 Darstellung von Zahlen und Zeichen Computer- bzw. Prozessorsysteme führen Transformationen durch, die Eingaben X auf Ausgaben Y abbilden, d.h. Y = f

Mehr

Zahlensysteme: Oktal- und Hexadezimalsystem

Zahlensysteme: Oktal- und Hexadezimalsystem 20 Brückenkurs Die gebräuchlichste Bitfolge umfasst 8 Bits, sie deckt also 2 8 =256 Möglichkeiten ab, und wird ein Byte genannt. Zwei Bytes, also 16 Bits, bilden ein Wort, und 4 Bytes, also 32 Bits, formen

Mehr

Daten, Informationen, Kodierung. Binärkodierung

Daten, Informationen, Kodierung. Binärkodierung Binärkodierung Besondere Bedeutung der Binärkodierung in der Informatik Abbildung auf Alphabet mit zwei Zeichen, in der Regel B = {0, 1} Entspricht den zwei möglichen Schaltzuständen in der Elektronik:

Mehr

Ein polyadisches Zahlensystem mit der Basis B ist ein Zahlensystem, in dem eine Zahl x nach Potenzen von B zerlegt wird.

Ein polyadisches Zahlensystem mit der Basis B ist ein Zahlensystem, in dem eine Zahl x nach Potenzen von B zerlegt wird. Zahlensysteme Definition: Ein polyadisches Zahlensystem mit der Basis B ist ein Zahlensystem, in dem eine Zahl x nach Potenzen von B zerlegt wird. In der Informatik spricht man auch von Stellenwertsystem,

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Inhaltsverzeichnis. Inhalt. 1 Einleitung

Inhaltsverzeichnis. Inhalt. 1 Einleitung Inhalt 3 Inhaltsverzeichnis 1 Einleitung 1.1 Digitale und analoge Signale... 9 1.2 Digitale Darstellung... 12 1.3 Datenübertragung... 14 1.4 Aufgaben digitaler Schaltungen... 17 1.5 Geschichte der Digitalrechner...

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Binär Codierte Dezimalzahlen (BCD-Code)

Binär Codierte Dezimalzahlen (BCD-Code) http://www.reiner-tolksdorf.de/tab/bcd_code.html Hier geht es zur Startseite der Homepage Binär Codierte Dezimalzahlen (BCD-) zum 8-4-2-1- zum Aiken- zum Exeß-3- zum Gray- zum 2-4-2-1- 57 zum 2-4-2-1-

Mehr

Lineare Gleichungssysteme

Lineare Gleichungssysteme Lineare Gleichungssysteme 1 Zwei Gleichungen mit zwei Unbekannten Es kommt häufig vor, dass man nicht mit einer Variablen alleine auskommt, um ein Problem zu lösen. Das folgende Beispiel soll dies verdeutlichen

Mehr

3 Rechnen und Schaltnetze

3 Rechnen und Schaltnetze 3 Rechnen und Schaltnetze Arithmetik, Logik, Register Taschenrechner rste Prozessoren (z.b. Intel 4004) waren für reine Rechenaufgaben ausgelegt 4 4-Bit Register 4-Bit Datenbus 4 Kbyte Speicher 60000 Befehle/s

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 09/10

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 09/10 FB ET/IT Binäre Rechenoperationen WS 9/ Name, Vorname: Matr.-Nr.: Zugelassene Hilfsmittel: beliebiger Taschenrechner eine selbst erstellte Formelsammlung Wichtige Hinweise: Ausführungen, Notizen und Lösungen

Mehr

Quadratische Gleichungen

Quadratische Gleichungen Quadratische Gleichungen Aufgabe: Versuche eine Lösung zu den folgenden Zahlenrätseln zu finden:.) Verdoppelt man das Quadrat einer Zahl und addiert, so erhält man 00..) Addiert man zum Quadrat einer Zahl

Mehr

Vertiefungsstoff zum Thema Darstellung von Zahlen

Vertiefungsstoff zum Thema Darstellung von Zahlen Vertiefungsstoff zum Thema Darstellung von Zahlen Addition von Zahlen in BCD-Kodierung Einerkomplementdarstellung von ganzen Zahlen Gleitpunktdarstellung nach dem IEEE-754-Standard 1 Rechnen mit BCD-codierten

Mehr

Anwendungshinweise zur Anwendung der Soziometrie

Anwendungshinweise zur Anwendung der Soziometrie Anwendungshinweise zur Anwendung der Soziometrie Einführung Die Soziometrie ist ein Verfahren, welches sich besonders gut dafür eignet, Beziehungen zwischen Mitgliedern einer Gruppe darzustellen. Das Verfahren

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung...1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Informationssysteme Gleitkommazahlen nach dem IEEE-Standard 754. Berechnung von Gleitkommazahlen aus Dezimalzahlen. HSLU T&A Informatik HS10

Informationssysteme Gleitkommazahlen nach dem IEEE-Standard 754. Berechnung von Gleitkommazahlen aus Dezimalzahlen. HSLU T&A Informatik HS10 Informationssysteme Gleitkommazahlen nach dem IEEE-Standard 754 Berechnung von Gleitkommazahlen aus Dezimalzahlen Die wissenschaftliche Darstellung einer Zahl ist wie folgt definiert: n = f * 10 e. f ist

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

Einführung in die Algebra

Einführung in die Algebra Prof. Dr. H. Brenner Osnabrück SS 2009 Einführung in die Algebra Vorlesung 13 Einheiten Definition 13.1. Ein Element u in einem Ring R heißt Einheit, wenn es ein Element v R gibt mit uv = vu = 1. DasElementv

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Zahlendarstellungen und Rechnerarithmetik*

Zahlendarstellungen und Rechnerarithmetik* Zahlendarstellungen und Rechnerarithmetik* 1. Darstellung positiver ganzer Zahlen 2. Darstellung negativer ganzer Zahlen 3. Brüche und Festkommazahlen 4. binäre Addition 5. binäre Subtraktion *Die Folien

Mehr

Erstellen von x-y-diagrammen in OpenOffice.calc

Erstellen von x-y-diagrammen in OpenOffice.calc Erstellen von x-y-diagrammen in OpenOffice.calc In dieser kleinen Anleitung geht es nur darum, aus einer bestehenden Tabelle ein x-y-diagramm zu erzeugen. D.h. es müssen in der Tabelle mindestens zwei

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754.

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. a) Stellen Sie die Zahl 7,625 in folgender Tabelle dar! b) Wie werden denormalisierte

Mehr

LU-Zerlegung. Zusätze zum Gelben Rechenbuch. Peter Furlan. Verlag Martina Furlan. Inhaltsverzeichnis. 1 Definitionen.

LU-Zerlegung. Zusätze zum Gelben Rechenbuch. Peter Furlan. Verlag Martina Furlan. Inhaltsverzeichnis. 1 Definitionen. Zusätze zum Gelben Rechenbuch LU-Zerlegung Peter Furlan Verlag Martina Furlan Inhaltsverzeichnis Definitionen 2 (Allgemeine) LU-Zerlegung 2 3 Vereinfachte LU-Zerlegung 3 4 Lösung eines linearen Gleichungssystems

Mehr

Programme im Griff Was bringt Ihnen dieses Kapitel?

Programme im Griff Was bringt Ihnen dieses Kapitel? 3-8272-5838-3 Windows Me 2 Programme im Griff Was bringt Ihnen dieses Kapitel? Wenn Sie unter Windows arbeiten (z.b. einen Brief schreiben, etwas ausdrucken oder ein Fenster öffnen), steckt letztendlich

Mehr

Zahlen auf einen Blick

Zahlen auf einen Blick Zahlen auf einen Blick Nicht ohne Grund heißt es: Ein Bild sagt mehr als 1000 Worte. Die meisten Menschen nehmen Informationen schneller auf und behalten diese eher, wenn sie als Schaubild dargeboten werden.

Mehr

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1 Schaltwerke (13) - Automaten (13.1) α SCHALTWERKE (13) [04.06.02, Folie 481, Übungen 07] Schaltwerke sind wesentliche Funktionseinheiten eines Computers. Beispiele hierfür sind das Rechen- und das Leitwerk

Mehr

MORE Profile. Pass- und Lizenzverwaltungssystem. Stand: 19.02.2014 MORE Projects GmbH

MORE Profile. Pass- und Lizenzverwaltungssystem. Stand: 19.02.2014 MORE Projects GmbH MORE Profile Pass- und Lizenzverwaltungssystem erstellt von: Thorsten Schumann erreichbar unter: thorsten.schumann@more-projects.de Stand: MORE Projects GmbH Einführung Die in More Profile integrierte

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. Flip-Flops (FF) 4.3.1 Flip-Flops (FF) Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. Flip-Flops (FF) 4.3.1 Flip-Flops (FF) Dr. Wolfgang Koch omputertechnik r. Wolfgang Koch 4.3 chwerke, equentielle chungen peicher, egister... : Frühere Eingaben (innere Zustände) spielen eine olle (werden gespeichert) Friedrich chiller University ena epartment

Mehr

SPS-Bearbeitung mit EPLAN 5.70

SPS-Bearbeitung mit EPLAN 5.70 SPS-Bearbeitung mit EPLAN 5.70 Beispielhaft anhand einer digitalen Eingangskarte werden hier die einzelnen Schritte der SPS-Bearbeitung erklärt. Grundsätzlich ist es ratsam sich ein spezielles Schaltplanprojekt

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität Flynn sche Klassifikation SISD (single instruction, single data stream): IS IS CU PU DS MM Mono (Mikro-)prozessoren CU: Control Unit SM: Shared Memory PU: Processor Unit IS: Instruction Stream MM: Memory

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

SEQUENZDIAGRAMM. Christoph Süsens

SEQUENZDIAGRAMM. Christoph Süsens SEQUENZDIAGRAMM Christoph Süsens DEFINITION Das Sequenzdiagramm gibt Auskunft darüber: Welche Methoden für die Kommunikation zwischen ausgewählten Objekten zuständig sind. Wie der zeitliche Ablauf von

Mehr

Computerarithmetik ( )

Computerarithmetik ( ) Anhang A Computerarithmetik ( ) A.1 Zahlendarstellung im Rechner und Computerarithmetik Prinzipiell ist die Menge der im Computer darstellbaren Zahlen endlich. Wie groß diese Menge ist, hängt von der Rechnerarchitektur

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Arbeiten mit UMLed und Delphi

Arbeiten mit UMLed und Delphi Arbeiten mit UMLed und Delphi Diese Anleitung soll zeigen, wie man Klassen mit dem UML ( Unified Modeling Language ) Editor UMLed erstellt, in Delphi exportiert und dort so einbindet, dass diese (bis auf

Mehr

2. Negative Dualzahlen darstellen

2. Negative Dualzahlen darstellen 2.1 Subtraktion von Dualzahlen 2.1.1 Direkte Subtraktion (Tafelrechnung) siehe ARCOR T0IF Nachteil dieser Methode: Diese Form der Subtraktion kann nur sehr schwer von einer Elektronik (CPU) durchgeführt

Mehr