Übungsaufgaben mit Lösungen zur 6. Auflage

Größe: px
Ab Seite anzeigen:

Download "Übungsaufgaben mit Lösungen zur 6. Auflage"

Transkript

1 Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen zur 6. uflage Zu den einzelnen Kapiteln sind Übungsaufgaben angegeben. Einige enthalten die Lösung in Kurzform. Sie finden die ausführlichen Musterlösungen zu allen ufgaben sowie VHDL-Dateien zum Downloaden unter ufg. Kap. Thema Nr., 2 2 Minimieren logischer Gleichungen 3, 4 2,4 Minimieren logischer Gleichungen [VHDL] zu 4 5 2,4,5 Entwurf eines 2-Bit-Vergleichers [VHDL] 6 2,4,5 Schaltnetz zur Wasserstandsregelung [VHDL] 7 3 Widerstandsdimensionierung für Gatter mit offenem Kollektor 8 2,3 nsteuerung von Leuchtdioden 9 4, 4,5 VHDL-Entwurf eines ddierers Test mit einer Testbench [VHDL] 2,4,5 [VHDL]Testvektoren Darstellung von Hexadezimalziffern auf einer 7-Segmentanz. [VHDL] 2,6 Zustands- und flankengesteuertes D-Flipflop 2 2,6 nalyse eines Schaltwerks mit D-Flipflops 3 6 Entwurf eines JK- und eines T-Flipflops mit Hilfe eines D-Flipflops 4 2,4,5,6 Steuerung einer mpelanlage [VHDL] 5 4,6 Testbench für einen synchronen Dualzähler [VHDL] 6 4,6 VHDL-Entwurf des programmierbaren Synchronzählers 7463 [VHDL] 7 2,4,6 Synchroner Modulo-5-Zähler [VHDL] 8 2,4,6 Entwurf eines synchronen Schaltwerks (Moore-utomat) [VHDL] 9 2,4,6 Entwurf eines synchronen Schaltwerks (Mealy-utomat) [VHDL] 2 2,4,6 Entwurf eines synchronen Schaltwerks mit Registerausgabe [VHDL] 2 4,7 Entwurf eines SRMs Ki x 8 Bit [VHDL] 22,2,7 Entwurf eines Speichersystems mit 8-Bit-Wortbreite 23,2,7 Speichersystem mit 6-Bit-Wortbreite nmerkung: bbildungen und Tabellen in den Übungsaufgaben werden aufgabenweise durchnummeriert und zusätzlich mit "Ü" gekennzeichnet, um Verwechslungen mit den bbildungs- und Tabellennummern der Kap. bis 9 und zu vermeiden. ufgaben, die mit [VHDL] gekennzeichnet sind, enthalten entsprechende VHDL-Modelle. In den Übungsaufgaben Ü9, Ü5 und Ü2 sind ausführliche Beispiele mit VHDL-Modellen, die eine Testbench enthalten, dargestellt.

2 2 Übungsaufgaben mit Lösungen ufgabe : Minimieren logischer Gleichungen Gegeben ist folgende logische Gleichung: Y B C B C B C B C a) Vereinfachen Sie die logische Gleichung mit der Booleschen lgebra und geben Sie die negierte und nichtnegierte disjunktive Minimalform an. b) Minimieren Sie die Gleichung mit dem KV-Diagramm und geben Sie die disjunktiven minimalen Gleichungen an. Entwerfen Sie die zugehörigen digitalen Schaltungen. Lösung : nichtnegierte disjunktive Minimalform Y B C Lösung 2: negierte disjunktive Minimalform Y C B ufgabe 2: Minimieren logischer Gleichungen Gegeben ist folgende logische Gleichung mit den Zwischengrößen U und X. Y = B U X C [ (B U)] mit U = B C und X = B C Gesucht sind die disjunktiven Minimalformen. Geben Sie die zugehörigen Schaltungen an. Vergleichen Sie die negierte mit der nichtnegierten Form. Lösung : nichtnegierte disjunktive Minimalform: Y B C C Lösung 2: negierte disjunktive Minimalform: Y C B ufgabe 3: Minimieren logischer Gleichungen C X X2 X3 Y Y2 Tabelle Ü3. Wahrheitstabelle zur 3. ufgabe Gegeben ist die Wahrheitstabelle Tabelle Ü3. mit den Eingangsvariablen X, X2, X3 und den usgangsvariablen Y und Y2. 3. Bestimmen Sie aus der Wahrheitstabelle die logischen Gleichungen unter nwendung der disjunktiven Normalform. 3.2 Geben Sie die disjunktiven Minimalformen an. Lösung zu 3.. Disjunktive Normalformen: Y = X X2 X3 X X2 X3 X X2 X3 Y2 = X X2 X3 X X2 X3 X X2 X3 X X2 X3 X X2 X3

3 Übungsaufgaben mit Lösungen 3 Lösung zu 3.2 Disjunktive Minimalformen: Y = X X2 X3 X X2 X3 X X2 X3 Y = X X2 X3 X X2 X2 X3 X X3 Y2 = X2 X3 X X3 X2 X3 alternativ: Y2 = X2 X3 X X2 X2 X3 Y2 = X2 X3 X X2 X3 (nichtnegiert) (negiert) (nichtnegiert) (nichtnegiert) (negiert) ufgabe 4: Minimieren logischer Gleichungen [VHDL] Bestimmen Sie aus der Wahrheitstabelle (Tabelle Ü4.) mit Hilfe des KV- Diagramms die negierten und nichtnegierten Minimalformen für Y, Y2 und Y3. Tabelle Ü4. Wahrheitstabelle zur 4. ufgabe Lösung zu 4: X X2 X3 X4 Y Y2 Y3 Nichtnegierte disjunktive Minimalformen: Y = X3 X4 X X2 X4 Y2 = X3 X4 X2 X4 alternativ: Y2 = X3 X4 X X4 Y3 = X2 X3 X3 X4 X X4 X X2 X4 Negierte disjunktive Minimalformen: Y = X4 X X3 X2 X3 Y2 = X4 X X3 alternativ: Y2 = X4 X2 X3 Y3 = X2 X4 X X3 X4 X X3 X4

4 4 Übungsaufgaben mit Lösungen VHDL-Modell: Entwurf zu vorgegebener Wahrheitstabelle Tab. Ü4. library ieee; use ieee.std_logic_64.all; entity uebung_4 is port ( x: in std_logic_vector ( to 4); -- Vektor x mit den Elementen x(), x(2), x(3), x(4) y: out std_logic_vector ( to 3)); -- Vektor y mit den Elementen y(), y(2), y(3) end uebung_4; architecture verhalten of uebung_4 is wahrheits_tab: process(x) case x is when "" => y <= ""; when "" => y <= "-"; when "" => y <= ""; when "" => y <= ""; when "" => y <= "-"; when "" => y <= "-"; when "" => y <= "-"; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= "--"; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when others => y <= "---"; -- alle anderen Faelle der neunwertigen Logik end case; end process wahrheits_tab; end verhalten; ufgabe 5: Entwurf eines 2-Bit-Vergleichers [VHDL] Entwerfen Sie einen 2-Bit-Vergleicher. Es sollen die 2-Bit-Dualzahlen und B in Betragsdarstellung miteinander verglichen und das Ergebnis des Vergleichs ausgegeben werden. Es soll gelten: Vergleich usgänge > B Y =, YB = und YG = < B Y =, YB = und YG = = B Y =, YB = und YG = Stellen Sie die nichtnegierten und negierten disjunktiven Minimalformen für Y, YB und YG auf. Welche Gleichungen sind zur Realisierung der digitalen Schaltung nötig, wenn die nzahl der UND-Verknüpfungen pro Gleichung minimal sein soll?

5 Lösung: Nichtnegierte disjunktive Minimalformen: Y = B B B B YB = B B B B Übungsaufgaben mit Lösungen 5 YG = B B B B B B B B Negierte disjunktive Minimalformen: Y = B B B B B YB = B B B B B YG = B B B B Es werden die nichtnegierten disjunktiven Minimalformen benutzt, da die nzahl der Produktterme im Vergleich zu den negierten Minimalformen geringer ist. VHDL-Modell: 2-Bit-Vergleicher entity comp is port( a,b: in bit_vector ( downto ); -- 2 Eingangsvektoren mit je 2 Elementen ya,yb,yg: out bit); -- 3 usgaenge end comp; architecture verhalten of comp is vergleich: process (a,b) if a > b then ya <= ''; yb <= ''; yg <= ''; elsif a < b then ya <= ''; yb <= ''; yg <= ''; elsif a = b then ya <= ''; yb <= ''; yg <= ''; end process vergleich; end verhalten; -- Zahlenvergleich ufgabe 6: Schaltnetz zur Wasserstandsregelung [VHDL] Gegeben ist ein Wasserbehälter mit den beiden bflüssen B und B2. Der Behälter kann über zwei Pumpen P und P2 gespeist werden. m Behälterrand sind 4 Schwimmer (X, X2, X3 und X4) angeordnet, über die der Wasserstand Xw überwacht werden kann. Der Wasserstand im Behälter soll über steuerbare Ventile an den Pumpen und bflüssen geregelt werden (bb. Ü6.). P = : Pumpe eingeschaltet P = : Pumpe ausgeschaltet P2 = : Pumpe 2 eingeschaltet P2 = : Pumpe 2 ausgeschaltet B= : bfluss geöffnet B = : bfluss geschlossen B2 = : bfluss 2 geöffnet B2 = : bfluss 2 geschlossen Falls eine unerlaubte Kombination der Eingangsvariablen auftritt, so soll eine Fehler-Variable F den Logik-Zustand "" annehmen.

6 6 Übungsaufgaben mit Lösungen Geben Sie die minimalen disjunktiven Gleichungen für alle usgangsvariablen in negierter und nichtnegierter Form an. Welche Gleichungen sind günstiger, wenn die nzahl der Produktterme als Kriterium gewählt wird? B P Wasserstand Xw P2 B2 bb. Ü6. Wasserbehälter zur ufgabe 6 Lösung: Nichtnegierte disjunktive Minimalform P X 2 P X 2 X X2 X3 X4 Xw unterhalb von X4: Beide Pumpen ein; B und B2 zu Xw zwischen X4 und X3: Beide Pumpen ein; B auf, B2 zu Xw zwischen X3 und X2: P ein, P2 aus; B zu, B2 auf Xw zwischen X2 und X: P aus, P2 ein; B und B2 auf Xw oberhalb von X: P und P2 aus; B und B2 auf Negierte disjunktive Minimalform P 2 X3 X X 2 P2 X X 2 X 3 B X3 X 4 X 2 B X 2 X3 X 4 B 2 X3 B2 X 3 X 3 F X3 X 4 X 2 X3 X X 2 F X X 2 X3 X 2 X3 X 4 X X3 X 4 Die Gleichungen in negierter und nichtnegierter Form sind gleich günstig, da sie die gleiche nzahl an Produkttermen enthalten. VHDL-Modell: Schaltnetz zur Wasserstandsregelung library ieee; use ieee.std_logic_64.all; entity wasser_beh is port( x: in std_logic_vector ( to 4); -- Eingangsvektor x: Elemente x(), x(2), x(3), x(4) P,P2,B,B2,F: out std_logic); --5 usgaenge end wasser_beh; architecture verhalten of wasser_beh is schwimmer: process(x) case x is when "" => -- Wasserstand unterhalb von x4 P <= ''; P2 <= ''; B <= ''; B2 <= ''; F <= ''; when "" => -- Wasserstand zwischen x4 und x3 P <= ''; P2 <= ''; B <= ''; B2 <= ''; F <= ''; when "" => -- Wasserstand zwischen x3 und x2

7 Übungsaufgaben mit Lösungen 7 P <= ''; P2 <= ''; B <= ''; B2 <= ''; F <= ''; when "" => -- Wasserstand zwischen x2 und x P <= ''; P2 <= ''; B <= ''; B2 <= ''; F <= ''; when "" => -- Wasserstand oberhalb von x P <= ''; P2 <= ''; B <= ''; B2 <= ''; F <= ''; when others => -- Schwimmer defekt: Fehlermeldung P <= '-'; P2 <= '-'; B <= '-'; B2 <= '-'; F <= ''; end case; end process schwimmer; end verhalten; ufgabe 7: Widerstandsdimensionierung für Gatter mit offenem Kollektor Gegeben sei ein Gatter in Standard TTL mit Open-Kollektor-usgang und einem Pull-Up-Widerstand R L an U B = 5V. Der usgang werde mit n digitalen Eingängen (Standard TTL) belastet. Randbedingungen: Kollektorströme des usgangstransistors: - Reststrom des gesperrten Transistors : I CRest = 25 µ - Max. Kollektorstrom des leitenden Transistors: I Cmax Eingangsströme der digitalen Eingänge: = 6m - H-Pegel: I IH = 4 µ - L-Pegel: I IL = -,6 m 7. Gesucht ist der maximale (R Lmax ) und minimale Wert (R Lmin ) des Pull-Up- Widerstands für n= Wie viele Gattereingänge n dürfen den usgang maximal belasten? 7.3 Erweitern Sie die Schaltung auf k kollektorseitig verbundene usgangstransistoren. Geben Sie für den Fall k=3 und n=5 den Wert für R Lmax und R Lmin an. Lösung: 7. R Lmax = (U CC U OHmin ) / (n I IH + I CRest ) = 5,77 k 7.2 n = 9 R Lmin = (U CC U OLmax ) / (n I IL + I Cmax ) = R Lmax = (U CC U OHmin ) / (5I IH + 3I CRest ) = 2,74 k R Lmin = (U CC U OLmax ) / (5I IL + I Cmax ) = 575

8 8 Übungsaufgaben mit Lösungen ufgabe 8: nsteuerung von Leuchtdioden Zur nzeige der Logik-Pegel werden in der Digitaltechnik häufig Leuchtdioden (LEDs) eingesetzt, da sie wenig Strom aufnehmen und wenig Platz benötigen. Für die nsteuerung der LEDs in TTL-Technik können z.b. Gatter mit Gegentakt- Endstufe (bb. Ü8. a und b) oder mit Open-Kollektor-usgang (bb. Ü8. c) eingesetzt werden. Der erforderliche LED-Strom wird mit Hilfe eines Vorwiderstandes eingestellt. Ergänzen Sie beiden Schaltungen und dimensionieren Sie die Vorwiderstände. bb Ü8. Vorgegebene Schaltungen zu ufgabe 8 nleitung: Eine rote Leuchtdiode (GasP rot) leuchtet bei einem Strom I D m so hell, dass sie als Indikator zur nzeige des Logik-Pegels eingesetzt werden kann. Die Spannung an der Leuchtdiode beträgt hierfür etwa,7 V. Ist die an der LED anliegende Spannung deutlich kleiner (<,5 V), bleibt die Leuchtdiode dunkel. Falls die in bb. Ü8. eingesetzten Transistoren durchgeschaltet sind, beträgt die Kollektor-Emitter-Restspannung U CEsat =,3 V. n der Siliziumdiode fällt im durchgeschalteten Zustand eine Spannung von,6 V ab. Der Kollektorwiderstand R in Schaltung a) und b) hat einen Wert von 3. Es gilt: U CC = 5 V. I CRest ist vernachlässigt. Lösung: CC D CEsat D D2 Fall a: R = U - I R - U - U - U a I D = UCC - U CEsat - UD2 Fall b: R b = = 3 (gewählt 27 ) I Fall c: c D UCC - U CEsat - U D2 R b = ID R = 3 (gewählt 27 ) Ein Vergleich der drei Treiberschaltungen zeigt, dass im Fall a) die im treibenden Gatter entstehende Verlustleistung am größten ist. Sie beträgt für die oben angegebenen Werte 22 mw. Für die beiden anderen Fälle b) und c) ist die im trei-

9 Übungsaufgaben mit Lösungen 9 benden usgangstransistor entstehende Verlustleistung mit 3 mw verhältnismäßig klein. P Va = I D 2 R + (U CEsat + U D )I D = 3 mw + 9 mw = 22 mw P Vb = P Vc = I D U CEsat = 3 mw bb Ü8.2 Treiberschaltungen zu ufgabe 8 mit den erforderlichen Widerständen und LEDs ufgabe 9: VHDL-Entwurf eines ddierers Test mit einer Testbench [VHDL] Entwerfen Sie einen 6-Bit-Ripple-Carry-ddierer und testen Sie ihn mit einer Testbench unter Einsatz von Testvektoren. nleitung: Deklarieren Sie die Komponenten für einen Volladdierer und einen parametrisierbaren n-bit-ripple-carry-ddierer (s. Kap. 5.3). Legen Sie die Komponenten in einem Package ab. Danach entwerfen Sie eine Testbench mit Testvektoren (Kap ). Lösung: -- adder_pack.vhd -- Volladdierer: full_adder und n-bit-ddierer: ripadd_n package adder_pack is component full_adder -- Componenten-Deklaration des -Bit-Volladdierers port (c_in: in bit; -- Uebertrag-Eingang a,b: in bit; -- Bitstellen der Zahlen a und b sum: out bit; -- Summe c_out: out bit); -- Uebertrag-usgang end component; component ripadd_n -- Componenten-Deklaration des n-bit-ddierers generic (n: integer := 8); port (ci: in bit; a,b: in bit_vector(n- downto );-- zu addierende Zahlen

10 Übungsaufgaben mit Lösungen summe : out bit_vector (n- downto ); co: out bit); end component; end adder_pack; -- Modell des -Bit-Volladdierers entity full_adder is port (c_in: in bit; -- Uebertrag-Eingang a,b: in bit; -- Bitstellen der Zahlen a und b sum: out bit; -- Summe c_out: out bit); -- Uebertrag- usgang end full_adder; architecture logik_full_adder of full_adder is constant tpd: time := ns; -- Verzögerungszeiten fuer die Simulation constant tpd2: time := 8 ns; sum <= a xor (b xor c_in) after tpd; c_out <= ((a xor b) and c_in) or (a and b) after tpd2; end logik_full_adder; -- Modell des n-bit-ddierers use work.adder_pack.all; entity ripadd_n is generic (n: integer := 8); port (ci: in bit; a,b: in bit_vector(n- downto );-- zu addierende Zahlen summe : out bit_vector (n- downto ); co: out bit); end ripadd_n; architecture adder_n of ripadd_n is signal c: bit_vector (n downto ); -- Zwischengroessen fuer Netzliste c() <= ci; add: for i in to n- generate -- Instanziierung mit Generate-nweisung addi: full_adder port map(c(i), a(i), b(i), summe(i), c(i+)); end generate; co <= c(n); end adder_n; -- Testbench fuer 6-Bit-ddierer -- Datum: use work.adder_pack.all; entity tb_add6 is end tb_add6; architecture auto_test of tb_add6 is signal ci,co,c_in,c_o: bit; signal a,b,summe,ergebnis: bit_vector(5 downto ); type test_vektor is record c_in: bit; a: bit_vector(5 downto ); b: bit_vector(5 downto ); ergebnis: bit_vector(5 downto );

11 c_o: bit; end record; Übungsaufgaben mit Lösungen type test_vektor_array is array (natural range <>) of test_vektor; constant test_feld: test_vektor_array:=( (c_in => '',a => "",b => "",ergebnis => "", c_o => ''), (c_in => '',a => "",b => "",ergebnis => "", c_o => ''), (c_in => '',a => "",b => "",ergebnis => "", c_o => ''), (c_in => '',a => "",b => "",ergebnis => "", c_o => ''), -- Fehler (c_in => '',a => "",b => "",ergebnis => "", c_o => '') -- Fehler ); dut: ripadd_n -- instanziieren der Werte generic map (n => 6) port map (ci,a,b,summe,co); testen: process -- Testvektor zuweisen variable vektor: test_vektor; variable fehler: boolean := false; for i in test_feld'range loop vektor := test_feld(i); ci <= vektor.c_in; a <= vektor.a; b <= vektor.b; wait for ns; if summe /= vektor.ergebnis or co /= vektor.c_o then assert false report "ddierer defekt"; fehler := true; end loop; assert not fehler report "Gesamttest ist fehlerhaft" severity note; assert fehler report "Gesamttest ist o.k" severity note; wait; end process; end auto_test; usführung mit ModelSim (Xilinx): run -all # Error: ddierer defekt # Time: 4 ns Iteration: Instance: /tb_add6 # Error: ddierer defekt # Time: 5 ns Iteration: Instance: /tb_add6 # Note: Gesamttest ist fehlerhaft # Time: 5 ns Iteration: Instance: /tb_add6

12 2 Übungsaufgaben mit Lösungen ufgabe : Darstellung von Hexadezimalziffern auf einer 7-Segment-nzeige [VHDL] f e a g d b c 7-Segment- nzeige bb. Ü. 7-Segmentanzeige Gegeben ist eine 7-Segmentanzeige mit den Segmenten a,b,c,d,e,f und g. Die Leuchtsegmente werden so kombiniert, dass eine Dezimalziffer oder ein Sonderzeichen dargestellt werden kann. Mittels der skizzierten 7-Segmentanzeige sollen die in Tabelle Ü. gegebenen hexadezimalen Ziffern in bhängigkeit der Eingangsvariablen X,X2,X3 und X4 dargestellt werden. Entwerfen Sie dafür eine minimale Schaltung und geben Sie die logischen Gleichungen in disjunktiver Minimalform an. Es gilt folgende Zuordnung: Ein Segment der nzeige leuchtet, wenn die zugehörige Steuervariable (Sa, Sb, Sc, Sd, Se, Sf, Sg) den Logik-Zustand annimmt. Tabelle Ü. Zuordnung zwischen den Eingangsvariablen und den Hex-Ziffern X X2 X3 X4 Zeichen Sa Sb Sc Sd Se Sf Sg b C d E F Lösung: Nichtnegierte disjunktive Minimalform: Sa = X X4 v X2 X3 v X2 X4 v X X3 v X X2 X3 v X X2 X4 Sb = X X2 v X2 X4 v X X3 X4 v X X3 X4 v X X3 X4 Sc = X X2 v X X2 v X X3 v X X4 v X3 X4 Sd = X X3 v X2 X3 X4 v X2 X3 X4 v X2 X3 X4 v X X2 X4 Se = X2 X4 v X3 X4 v X X3 v X X2

13 Sf = X3 X4 v X2 X4 v X X3 v X X2 v X X2 X3 Sg = X X4 v X2 X3 v X3 X4 v X X2 v X X2 X3 Übungsaufgaben mit Lösungen 3 VHDL-Modell: Hexadezimalziffern auf 7-Segment library ieee; use ieee.std_logic_64.all; entity uebung_ is port( x: in std_logic_vector ( to 4); sa,sb,sc,sd,se,sf,sg: out std_logic); end uebung_; architecture verhalten of uebung_ is signal y: std_logic_vector (6 downto ); -- y ist Zwischengroesse wahrheits_tab: process(x) case x is when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when "" => y <= ""; when others => y <= " "; end case; -- neunwertige Logik end process wahrheits_tab; sa <= y(6); sb <= y(5); sc <= y(4); sd <= y(3); -- nebenlaeufige nweisungen se <= y(2); sf <= y(); sg <= y(); end verhalten;

14 4 Übungsaufgaben mit Lösungen ufgabe : Zustands- und flankengesteuertes D-Flipflop Ein zustands- und ein positiv flankengesteuertes D-Flipflop werden von einem Signal X am D-Eingang und am Takteingang angesteuert. Der usgang des zustandsgesteuerten D-Flipflops sei Qz und der des flankengesteuerten Qf. Vervollständigen Sie den skizzierten Signalzeitplan und geben Sie Unterschiede der beiden Flipflops an. bb. Ü. nsteuerung der beiden D-Flipflops nmerkung: Zu Beginn der Betrachtungen seien beide Flipflops zurückgesetzt. Die Verzögerungszeiten der Flipflops seien vernachlässigbar. bb. Ü.2 Vorgegebener Signalverlauf des Taktes und Eingangssignals Lösung: Ein zustandsgesteuertes D-Flipflop ist für = transparent, d. h. eine Änderung am D-Eingang wirkt sich direkt am usgang aus (Verzögerungszeiten seien vernachlässigbar). Während der Takt im Logik-Zustand ist, speichert das zustandsgesteuerte D-Flipflop den Wert. Dagegen übernimmt das flankengesteuerte D-Flipflop den Logik-Zustand am D-Eingang mit der positiven Taktflanke und speichert ihn bis zur nächstfolgenden positiven Taktflanke. bb. Ü.3 Vollständiger Signalzeitplan zu ufgabe

15 Übungsaufgaben mit Lösungen 5 ufgabe 2: nalyse eines Schaltwerks mit D-Flipflops In einer digitalen Schaltung sollen drei flankengesteuerte D-Flipflops eingesetzt werden. bb. Ü2. Blockschaltbild zu ufgabe 2 Die logischen Gleichungen für die D-Eingänge lauten: D = Q DB = X QC QB Q X QB Q X QB Q X QC Q DC = X QC QB Q X QB Q X QC Q X QC Q Q, QB, QC sind die usgänge der drei D-Flipflops und X ist eine Eingangsvariable (bb. Ü2. und Ü2.2). Die usgänge Q, QB und QC haben die Wertigkeit 2, 2 und 2 2. Vervollständigen Sie den in bb. Ü2.2 gegebenen Signalzeitplan und charakterisieren Sie die Schaltung. Für t = sollen die drei D-Flipflops rückgesetzt sein. bb. Ü2.2 Signalzeitplan zu ufgabe 2 Lösung: Das erste D-Flipflop mit dem usgang Q ist als Frequenzteiler (:2) geschaltet. Der zeitliche Verlauf der usgangsgröße Q kann unabhängig von X und den usgängen QB und QC bestimmt werden (bb. Ü2.3). Da QB und QC von X, Q, QB und QC abhängen, kann der zeitliche Verlauf dieser beiden Flipflopausgänge nur für eine Taktperiode zwischen zwei benachbarten positiven Taktflanken

16 6 Übungsaufgaben mit Lösungen bestimmt werden. Dann werden die neu ermittelten Logik-Zustände wieder in die Gleichungen eingesetzt und der Signalverlauf für die nächste Periode gewonnen, usw. (bb. Ü2.3). bb. Ü2.3 Vollständiger Signalzeitplan zu ufgabe 2 Die Schaltung stellt einen synchronen Vorwärts-/Rückwärts-Modulo-6-Zähler dar, der über das Eingangssignal X in der Zählrichtung umgeschaltet werden kann. Der Zähler zählt für X = vorwärts und für X = rückwärts. 3: Entwurf eines JK- und eines T-Flipflops mit Hilfe eines D-Flipflops Entwerfen Sie mit Hilfe eines flankengesteuerten D-Flipflops und eines Schaltnetzes ein flankengesteuertes JK- und T-Flipflop. Lösung: Es gelten folgende Übergangsbedingungen: D-Flipflop JK-Flipflop T-Flipflop Q D (Gl.) Q J Q K Q (Gl.2) Q T Q T Q (Gl.3) a) Entwurf des JK-Flipflops: Gleichsetzen der Übergangsbedingungen nach Gl. und Gl.2: D J Q K Q b) Entwurf des T-Flipflops: Gleichsetzen von Gl. und Gl.3: D T Q T Q bb. Ü3. Realisierung eines JK- und eines T-Flipflops mit Hilfe eines D-Flipflops

17 Übungsaufgaben mit Lösungen 7 ufgabe 4: Steuerung einer mpelanlage [VHDL] Eine Straßenkreuzung mit Haupt- und Nebenstrecke soll von einer mpelanlage gesteuert werden. Für die Lichtsignale der Hauptstrecke soll gelten: 4 s grün, 2 s gelb, 4 s rot, 2 s rotgelb, usw.. Für die Lichtsignale der Nebenstrecke soll gelten: 6 s grün, 2 s gelb, 22 s rot, 2 s rotgelb, usw.. Beim Umschalten sollen sich die Rotphasen beider mpelanlagen für 2 s überlappen. Daraus folgt, dass innerhalb eines mpelzyklus beide mpelanlagen zweimal für je 2 s gleichzeitig rot geschaltet sind. Zum Entwurf werde ein Zähler eingesetzt. Dessen usgänge sollen über ein Schaltnetz die sechs Lampen der beiden mpelanlagen ansteuern. 4. Geben Sie den Zählertyp und die erforderliche Taktfrequenz an. 4.2 Geben Sie in Form einer Wahrheitstabelle die bhängigkeit der sechs Steuervariablen vom Zählerstand an, nen Sie beim Zählerstand mit der Grünphase der Hauptstrecke. 4.3 Stellen Sie die logischen Gleichungen für die sechs Steuervariablen auf. Zum Entwurf soll ein PL mit nichtnegierten usgängen eingesetzt werden. Minimieren Sie die Gleichungen, so dass die nzahl der erforderlichen Produktterme (UND-Verknüpfungen) des PLs minimal wird. Lösung zu 4.: Da ein Lichtsignalzyklus der Haupt- und Nebenstrecke 32 s benötigt und die kleinste Zeiteinheit 2 s beträgt, ist eine Zählerkapazität von 32 s/2 s = 6 nötig. Gewählt wird ein asynchroner Vorwärts-Dualzähler mit einer Taktfrequenz von,5 Hz. Der Zähler kann asynchron über R rückgesetzt werden (bb Ü4.3).

18 8 Übungsaufgaben mit Lösungen Lösung zu 4.2: Tabelle Ü4. Wahrheitstabelle mit den geforderten Steuervariablen QD QC QB Q GRÜN_H ROT_H GELB_H GRÜN_N ROT_N GELB_N Lösung zu 4.3: bb. Ü4. KV-Diagramme zur Minimierung der logischen Gleichungen GRÜN_H, ROT_H und GELB_H GRÜN_H = () () (2) (3) (4) (5) (6) = QD QB QD QC QD Q ROT_H = (8) (9) () () (2) (3) (4) (5) = QD GELB_H = (7) (5) = QC QB Q GRÜN_N = () () (2) = QD QB QC QD QC QB Q ROT_N = () () (2) (3) (4) (5) (6) (7) (8) (9) (4) (5) = QD QC QB QC QB GELB_N = (9) (3) = QD QB Q

19 Übungsaufgaben mit Lösungen 9 bb. Ü4.2 KV-Diagramme zur Minimierung der logischen Gleichungen GRÜN_N, ROT_N und GELB_N In bb. Ü4.3 ist das Blockschaltbild der mpelsteuerung abgebildet. Der eingesetzte Zähler kann über R = rückgesetzt werden. Dadurch wird die Grünphase für die Hauptstrecke eingeleitet. bb. Ü4.3: Blockschaltbild der mpelsteuerung VHDL-Modell: mpelanlage library ieee; use ieee.std_logic_64.all; use work.std_arith.all; entity ampel is port ( clk, r_neg: in std_logic; -- r_neg = reset nicht gruen_h, rot_h, gelb_h,gruen_n, rot_n, gelb_n: out std_logic); end ampel; architecture verhalten of ampel is -- rchitektur mit 2 Prozessen signal zaehl: std_logic_vector(3 downto ); -- interner Zaehler zaehler: process (r_neg, clk) -- Prozess zur Modellierung des Zaehlers if r_neg='' then zaehl <= ""; -- asynchroner Reset elsif (clk'event and clk='') then zaehl <= zaehl +; end process zaehler; ausgabe: process (zaehl) -- Prozess fuer die usgabe

20 2 Übungsaufgaben mit Lösungen if (zaehl < "") then gruen_h <= ''; rot_h <= ''; gelb_h <= ''; gruen_n <= ''; rot_n <= ''; gelb_n <= ''; elsif (zaehl = "") then gruen_h <= ''; rot_h <= ''; gelb_h <= ''; gruen_n <= ''; rot_n <= ''; gelb_n <= ''; elsif (zaehl = "") then gruen_h <= ''; rot_h <= ''; gelb_h <= ''; gruen_n <= ''; rot_n <= ''; gelb_n <= ''; elsif (zaehl = "") then gruen_h <= ''; rot_h <= ''; gelb_h <= ''; gruen_n <= ''; rot_n <= ''; gelb_n <= ''; elsif (zaehl > "" and zaehl < "") then gruen_h <= ''; rot_h <= ''; gelb_h <= ''; gruen_n <= ''; rot_n <= ''; gelb_n <= ''; elsif (zaehl = "") then gruen_h <= ''; rot_h <= ''; gelb_h <= ''; gruen_n <= ''; rot_n <= ''; gelb_n <= ''; elsif (zaehl = "") then gruen_h <= ''; rot_h <= ''; gelb_h <= ''; gruen_n <= ''; rot_n <= ''; gelb_n <= ''; elsif (zaehl = "") then gruen_h <= ''; rot_h <= ''; gelb_h <= ''; gruen_n <= ''; rot_n <= ''; gelb_n <= ''; end process ausgabe; end verhalten; ufgabe 5: Testbench für einen synchronen Dualzähler [VHDL] Entwerfen Sie eine Testbench für einen synchronen 4-Bit Dualzähler mit asynchronem Reset. Verwenden Sie sowohl eine Testbench mit Testvektoren als auch eine Testbench mit Ein- und usgabedatei. Lösung: Im VHDL-Entwurf wird an einer Stelle ein Fehler eingebaut und die Reaktion auf diesen Fehler wird dokumentiert.. Testbench mit Testvektoren (Kap ) -- zaehler_pack.vhd -- Package zaehler_pack mit der Komponente bin_4 library ieee; use ieee.std_logic_64.all; use ieee.std_logic_unsigned."+"; -- Erweiterung des Operators + auf Vektoren package zaehler_pack is component bin_4 port ( clk, reset: in std_logic; q: buffer std_logic_vector(3 downto )); end component; end zaehler_pack; -- 4-Bit-Dualzaehler mit asynchronem Reset library ieee; use ieee.std_logic_64.all; use ieee.std_logic_unsigned."+";

21 Übungsaufgaben mit Lösungen 2 entity bin_4 is port ( clk, reset: in std_logic; q: buffer std_logic_vector(3 downto )); end bin_4; architecture archcounter of bin_4 is zaehler: process (reset, clk) if reset = '' then q <= ""; elsif (clk'event and clk = '') then -- negative Flanke ist aktiv q <= q + ; if q >= "" then q <= ""; -- eingebauter Fehler "modulo-7-zaehler" end process zaehler; end archcounter; -- test_bench_zaehl.vhd -- Testbench fuer 4-Bit-Dualzaehler mit asynchronem Reset library ieee; use ieee.std_logic_64.all; use work.zaehler_pack.all; entity test_bench_zaehl is end test_bench_zaehl; architecture auto_test of test_bench_zaehl is signal clk,reset: std_logic; signal q: std_logic_vector(3 downto ); type test_vektor is record clk: std_logic; reset: std_logic; q: std_logic_vector(3 downto ); end record; type test_vektor_array is array (natural range <>) of test_vektor; constant test_feld: test_vektor_array:=( (clk => '',reset => '',q => ""), -- neg. Taktflanke aktiv (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""), (clk => '',reset => '',q => ""),

22 22 Übungsaufgaben mit Lösungen (clk => '',reset => '',q => "") ); -- instanziieren der component bin_4 dut: bin_4 port map (clk, reset, q); testen: process -- Testvektor zuweisen und pruefen variable vektor: test_vektor; variable fehler: boolean := false; for i in test_feld'range loop vektor := test_feld(i); clk <= vektor.clk; reset <= vektor.reset; wait for 2 ns; -- Vergleich nach 2ns if q /= vektor.q then assert false report "Zaehler reagiert falsch"; -- zeitabhängige Fehlermeldung fehler := true; wait for 3 ns; -- Taktperiode = ns = 2 x (2+3)ns end loop; -- usgabe eines Reports nach Testende assert not fehler report "Gesamttest ist fehlerhaft" severity note; assert fehler report "Gesamttest ist o.k" severity note; wait; end process testen; end auto_test; Fehlerprotokoll des Compiler ModelSim: # Error: Zaehler reagiert falsch # Time: 72 ns Iteration: Instance: /test_bench_zaehl # Error: Zaehler reagiert falsch # Time: 77 ns Iteration: Instance: /test_bench_zaehl # Error: Zaehler reagiert falsch # Time: 82 ns Iteration: Instance: /test_bench_zaehl # Error: Zaehler reagiert falsch # Time: 87 ns Iteration: Instance: /test_bench_zaehl # Note: Gesamttest ist fehlerhaft # Time: 9 ns Iteration: Instance: /test_bench_zaehl Nachdem der Fehler beseitigt ist, wird erneut compiliert und mit dem Run-Kommando ausgeführt: Protokoll des Compiler ModelSim mit fehlerfreiem VHDL-Modell: run -all # Note: Gesamttest ist o.k # Time: 9 ns Iteration: Instance: /test_bench_zaehl

23 2. Testbench mit Ein- und usgabedatei Übungsaufgaben mit Lösungen 23 Beim zweiten Test wird - wie in Kap erläutert - die Eingabe der Stimuli über eine formatierte Textdatei vorgenommen. In gleicher Weise werden die Testergebnisse formatiert in einer usgabedatei abgelegt. Im folgenden Beispiel wird vorausgesetzt, dass die compilierten Packages zaehler_pack und text_io in der Work-Library gespeichert sind. -- tb_zaehl_io.vhd -- Testbench mit Ein- und usgabedatei library ieee; use ieee.std_logic_64.all; use std.textio.all; use work.text_io_pack.all; -- siehe Kap use work.zaehler_pack.all; entity tb_textio is -- Testbench end tb_textio; architecture auto_test of tb_textio is signal clk,reset: std_logic; signal q: std_logic_vector(3 downto ); dut: bin_4 port map (clk, reset, q); testen: process file eingabe_datei: text is in "zaehl_ein.txt"; file ausgabe_datei: text is out "zaehl_aus.txt"; variable zeile_ein,zeile_aus: line; variable v_clk: std_logic; variable v_reset: std_logic; variable v_q: std_logic_vector(3 downto ); variable aus_q: std_logic_vector(3 downto ); variable fehler: boolean := false; variable gut: boolean; variable char: character; variable fehler_aus: string( to 4) := "nein"; constant abstand_2: string( to 2) := " "; constant abstand_3: string( to 3) := " "; constant abstand_4: string( to 4) := " "; -- Ueberschrift der usgabedatei constant ueber: string( to 29) := "clk reset q qsoll Fehler"; -- Stimuli-Eingabe -- Ergebnis-usgabe write(zeile_aus, ueber); -- Ueberschriftausgabe writeline(ausgabe_datei, zeile_aus); -- usgabe der Zeile writeline(ausgabe_datei, zeile_aus); -- usgabe einer Leerzeile zeile_loop: while not endfile(eingabe_datei) loop readline (eingabe_datei,zeile_ein); -- Zeile einlesen -- Zeile auswerten: Uebergabe an Signale -- ueberspringe Zeile, falls Zeichen kein Tabulator read (zeile_ein,char,gut); if not gut or char /= HT then next; assert gut

24 24 Übungsaufgaben mit Lösungen report "Fehler beim Lesen" severity note; read (zeile_ein,v_clk,gut); next when not gut; read (zeile_ein,char); read (zeile_ein,v_reset,gut); next when not gut; read (zeile_ein,char); read (zeile_ein,v_q,gut); next when not gut; clk <= v_clk; -- Typ-Konvertierung: variable --> signal reset <= v_reset; wait for 2 ns; -- ueberpruefen des Ergebnisses aus_q := q; if aus_q /= v_q then assert false report "Zaehler reagiert falsch"; fehler := true; fehler_aus := " ja "; -- formatierte usgabe write(zeile_aus, ' '); write(zeile_aus, v_clk); write(zeile_aus, abstand_4); write(zeile_aus, v_reset); write(zeile_aus, abstand_3); write(zeile_aus, aus_q); write(zeile_aus, abstand_3); write(zeile_aus, v_q); write(zeile_aus, abstand_3); write(zeile_aus, fehler_aus); writeline(ausgabe_datei, zeile_aus); fehler_aus := "nein"; wait for 3 ns; -- Taktperiode = ns = 2 x (2+3)ns end loop zeile_loop; -- usgabe eines Reports assert not fehler report "Gesamtbewertung: Zaehler ist fehlerhaft" severity note; assert fehler report "Gesamtbewertung: Zaehler ist o.k" severity note; wait; end process testen; end auto_test; Fehlerprotokoll ModelSim: (s. o)

25 Übungsaufgaben mit Lösungen 25 Tabelle Ü5. Ein- und usgabedatei, die innerhalb der Testbench verwendet werden eingabe_datei: zaehl_ein.txt 4-Bit-Binärzähler mit asnchronem Reset ausgabe_datei: zaehl_aus.txt clk reset q qsoll Fehler nein nein nein nein nein nein nein nein nein nein nein nein nein nein ja ja ja ja ufgabe 6: VHDL-Entwurf des programmierbaren Synchronzählers 7463 [VHDL] Entwerfen Sie das VHDL-Modell des programmierbaren synchronen 4-Bit-Dualzählers Eine detaillierte Beschreibung des Zählertyps finden Sie in Kapitel Lösung: -- Ladbarer 4-Bit-Binaerzaehler: Typ SN Steuereingaenge: clr, load, ent, enp -- Takteingang clk -- Ladeeingaenge: d (4-Bit-Vektor) -- usgaenge: q (4-Bit-Vektor) und Uebertrag rco library ieee; use ieee.std_logic_64.all; use ieee.std_logic_unsigned. + ; entity SN7463 is port ( clr, load, ent, enp: in std_logic; clk: in std_logic; d: in std_logic_vector(3 downto ); q: buffer std_logic_vector(3 downto ); rco: out std_logic); end SN7463 ;

26 26 Übungsaufgaben mit Lösungen architecture arch_bin4 of SN7463 is constant tco: time := 8 ns; constant tpd: time := ns; zaehlen: process (clk) if (clk'event and clk = '') then if clr = '' then -- synchroner Reset q <= (others => '') after tco; elsif load = '' then q <= d after tco; elsif (ent = '' and enp = '') then -- zaehlt, falls beide enable aktiv q <= q + after tco; end process zaehlen; ueberlauf: rco <= '' after tpd when q = 5 and ent = '' else '' after tpd; end arch_bin4; ufgabe 7: Synchroner Modulo-5-Zähler [VHDL] Geben Sie zu den drei Unterpunkten jeweils die nichtnegierten disjunktiven Minimalformen an. 7. Entwerfen Sie mit Hilfe von D-Flipflops einen synchronen Modulo-5 Zähler. Der Zähler soll vorwärts zählen. 7.2 Entwerfen Sie mit Hilfe von D-Flipflops einen synchronen Modulo-5 Zähler. Der Zähler soll rückwärts zählen. 7.3 Entwerfen Sie mit Hilfe von D-Flipflops einen umschaltbaren synchronen Modulo-5 Zähler. Mit UM = soll vorwärts und mit UM = soll rückwärts gezählt werden. Lösung: 7. Vorwärtszähler Tabelle Ü7. Wahrheitstabelle für den synchronen Modulo-5-Vorwärtszähler QC QB Q Zahl QC QB Q

27 Übergangsbedingung für das D-Flipflop: D = Q D Q () (2) Q QC DB QB () (2) QQB QQB DC QC (3) QQB Übungsaufgaben mit Lösungen 27 bb. Ü7. Schaltung des Modulo-5-Vorwärtszählers 7.2 Rückwärtszähler Tabelle Ü7.2 Wahrheitstabelle für den synchronen Modulo-5-Rückwärtszähler Übergangsbedingung für das D-Flipflop: D = Q D Q (2) (4) QC QQB DB QB (3) (4) QC QQB DC QC () Q QB QC QC QB Q Zahl QC QB Q Es gilt die Schaltung nach bb. Ü7..

28 28 Übungsaufgaben mit Lösungen 7.3 Umschaltbarer Vor-/Rückwärtszähler Für die Umschaltvariable UM wird in der Wahrheitstabelle eine zusätzliche Eingangsgröße berücksichtigt. Übergangsbedingung für das D-Flipflop: D = Q D Q () (2) () (2) QC UM QQB Q QC UM DB QB () (2) () (2) QC UM Q QBUM Q QBUM Q QB UM DC QC (3) (8) QQB UM QQB QC UM Tabelle Ü7.3 Wahrheitstabelle für ufgabe 7.3 UM QC QB Q Zahl QC QB Q bb. Ü7.2 Schaltung des umschaltbaren Modulo-5- Vorwärts-/Rückwärtszählers VHDL-Modell: Umschaltbarer Modulo-5-Zähler library ieee; use ieee.std_logic_64.all; entity mod_5_um is port ( clk, pon, um: in std_logic; q: out std_logic_vector (2 downto )); end mod_5_um; architecture verhalt_mod_5 of mod_5_um is -- Realisierung mit einer Zustandsmaschine type zustand_type is (S, S, S2, S3, S4);

29 Übungsaufgaben mit Lösungen 29 signal zustand: zustand_type; zustand_machine: process (clk, pon) --Registerausgabe if pon = '' then q <= ""; zustand <= S; -- nfangszustand elsif clk'event and clk = '' then case zustand is -- Zustandsfolge ist von "um" abhaengig when S => if um='' then zustand <= S4; q <= ""; elsif um='' then zustand <= S; q <= ""; when S => if um='' then zustand <= S; q <= ""; elsif um='' then zustand <= S2; q <= ""; when S2 => if um='' then zustand <= S; q <= ""; elsif um='' then zustand <= S3; q <= ""; when S3 => if um='' then zustand <= S2; q <= ""; elsif um='' then zustand <= S4; q <= ""; when S4 => if um='' then zustand <= S3; q <= ""; elsif um='' then zustand <= S; q <= ""; when others => null; end case; end process zustand_machine; end verhalt_mod_5; ufgabe 8: Entwurf eines synchronen Schaltwerks (Moore-utomat) [VHDL] Gegeben ist ein Takt mit der Periodendauer T p = s und ein zum Takt asynchrones Eingangssignal X (bb. Ü8.). Die Eingangsimpulse sind breiter als T p und der bstand zwischen den Eingangsimpulsen, gemessen von negativer Flanke bis zur nächstfolgenden positiven, ist größer als 3 T p. Entwerfen Sie einen digitalen Differenzierer, der sowohl nach der positiven als auch nach der negativen Flanke eines Eingangsimpulses je einen zur positiven Taktflanke synchronen Impuls der Breite T p ausgibt. uch als Reaktion auf schmale Eingangsimpulse sollen an Y zwei Impulse in einem bstand der Taktperiode T p ausgegeben werden. Die synchrone usgabe an Y soll so schnell wie möglich erfolgen (bb. Ü8.).

30 3 Übungsaufgaben mit Lösungen bb. Ü8. Signalzeitplan des digitalen Differenzierers nleitung: Setzen Sie zur Lösung der ufgabe ein synchrones Schaltwerk vom Typ Moore-utomat ein. Stellen Sie das entsprechende Zustandsdiagramm und die Zustandsfolgetabelle auf und reduzieren Sie die Zustände soweit wie möglich. Entwerfen Sie anhand der Zustandsfolgetabelle ein synchrones Schaltwerk mit D- Flipflops als Zustandsvariablenspeicher. Lösung: Zur Lösung der ufgabe wird zunächst ein Zustandsdiagramm entworfen. nhand der ufgabenstellung wird schrittweise das Zustandsdiagramm a) in bb. Ü8.2 entwickelt. Nach dem Einschalten der Versorgungsspannung (pon) wird der nfangszustand erreicht. In diesem Zustand gibt das Schaltwerk am usgang Y den Logik-Zustand aus und wartet (Warteschleife für X = ) bis das Eingangssignal X den Logik-Zustand annimmt. Für X = erfolgt mit der nächsten positiven Taktflanke der Übergang in den Zustand. Im Zustand wird an Y für eine Taktperiode der Logik-Zustand ausgegeben. Mit der nächsten positiven Taktflanke erfolgt für X = (kurzer Eingangsimpuls) der Übergang nach Zustand 4, während für X = (breiter Impuls) der Folgezustand 2 erreicht wird. In beiden Zuständen (2 und 4) wird Y = ( Y = ) ausgegeben. Von Zustand 4 ausgehend wird für X = ( X = ) zunächst der Zustand 5 erreicht, in dem Y = wird (zweiter usgabeimpuls) und anschließend der nfangszustand. Sowohl im Zustand 4 als auch im Zustand 5 kann aufgrund der Randbedingung "Minimaler bstand zwischen zwei Eingangsimpulsen ist größer als 3 T p " das Eingangssignal X nicht werden. Falls ein breiter Eingangsimpuls vorliegt, wartet das Schaltwerk im Zustand 2 das Impulsende (Warteschleife für X = ) ab und geht dann für X = ( X = ) mit der nächsten positiven Flanke in den Zustand 3 über. Im Zustand 3 wird für eine Taktperiode Y = ausgegeben, und anschießend erfolgt der Übergang in den nfangszustand. ufgrund des minimalen bstands der Eingangsimpulse von größer 3 T p kann im Zustand 3 die Eingangsvariable X nicht "" werden.

31 Übungsaufgaben mit Lösungen 3 bb. Ü8.2 Zustandsdiagramm des digitalen Differenzierers Die zu dem Zustandsdiagramm in bb. Ü8.2 a) zugehörige Zustandsfolgetabelle ist in Tabelle Ü8. a) dargestellt. Die Zustände 3 und 5 in Tabelle Ü8. a) sind äquivalent und werden zu dem Zustand 3 zusammengefasst. Wenn man die Zustandsnummer 5 durch 3 ersetzt, erkennt man, dass die Zustände 2 und 4 ebenfalls äquivalent sind. Sie werden zu dem Zustand 2 zusammengefasst. Die sich daraus ergebende reduzierte Zustandsfolgetabelle ist in Tabelle Ü8. b) abgebildet und das zugehörige Zustandsdiagramm ist in bb. Ü8.2 b) skizziert. Tabelle Ü8. Gegenüberstellung der nichtreduzierten (links) und der reduzierten Zustandsfolgetabelle (rechts) Eingang Zustand usgang Eingang Zustand usgang m m m+ m m m m+ m X Z Z Y X Z Z Y

32 32 Übungsaufgaben mit Lösungen Tabelle Ü8.2 usführliche Form der reduzierten Zustandsfolgetabelle Eing. (dez.) Zustand (dez.) usg. (dez.) Eingangsvariablen Zustandsvariablen m m m+ m m m m+ m X Z Z Y X Z Z2 Z Z2 Y nhand der ausführlichen Zustandsfolgetabelle in Tabelle Ü8.2 werden mit Hilfe der KV-Diagramme (bb. Ü8.3) die Gleichungen D und D2 für die D- Flipflops sowie die usgangsgleichung Y bestimmt. Für die KV-Diagramme gilt folgende Zuordnung: X: 2 2 Z: 2 Z2: 2 ugangsvariablen bb. Ü8.3 KV-Diagramme für D, D2 und Y Z = D = () (5) (2) (6) = Z Z2 Z Z2 Z2 = D2 = (4) (2) = X Z Z2 X Z Z2 Y = () (5) (3) (7) = Z2 Das gesuchte Schaltwerk ist in bb. Ü8.4 abgebildet. Für die technische Realisierung kann ein PL mit Registerausgang eingesetzt werden. In diesem Fall wird zur Lösung der ufgabe nur ein integrierter Baustein benötigt.

33 Übungsaufgaben mit Lösungen 33 bb. Ü8.4 Schaltung des digitalen Differenzierers Das Schaltwerk in bb. Ü8.4 ist ein Moore-utomat mit synchroner usgabe Y = Z2. Die im Zustandsdiagramm (bb. Ü8.2) gekennzeichnete Möglichkeit, über einen Einschaltimpuls ( pon) den nfangszustand zu erreichen, wird im Schaltwerk über den negierten Rücksetzeingang am D-Register mit pon = realisiert. VHDL-Modell: Digitaler Differenzierer mit zwei usgabeimpulsen library ieee; use ieee.std_logic_64.all; entity differ_2 is port ( clk, x, pon: in std_logic; y: out std_logic); end differ_2; architecture differ_2_arch of differ_2 is type zustand_type is (S, S, S2, S3); signal zustand: zustand_type; zustand_machine: process (clk, pon) if pon='' then zustand <= S; -- mit pon = in den nfangszustand elsif clk'event and clk = '' then case zustand is when S => -- Zustand (S) if x='' then zustand <= S; elsif x='' then zustand <= S; when S => -- Zustand (S) zustand <= S2; when S2 => if x='' then zustand <= S2; elsif x='' then zustand <= S3; when S3 => if x='' then zustand <= S; -- Zustand 2 (S2) -- Zustand 3 (S3)

34 34 Übungsaufgaben mit Lösungen end case; end process; y_zuweisung: -- Signal-Zuweisung fuer kombinatorische usgabe y <= '' when (zustand = S or zustand = S3) else ''; end differ_2_arch; ufgabe 9: Entwurf eines synchronen Schaltwerks (Mealy-utomat) [VHDL] Gegeben ist ein Takt mit der Periodendauer T p = s und ein zum Takt asynchrones Eingangssignal X (bb. Ü9.). Die Eingangsimpulse sind breiter als T p und der bstand zwischen den Eingangsimpulsen, gemessen von negativer Flanke bis zur nächstfolgenden positiven, ist größer als 3 T p. Entwerfen Sie einen digitalen Differenzierer, der unmittelbar nach der positiven Flanke eines Eingangsimpulses einen H-Impuls am usgang ausgibt. Verzögerungszeiten der im Schaltwerk eingesetzten Gatter und Flipflops seien vernachlässigbar. Der usgabeimpuls Y soll breiter als 2 T p, jedoch schmaler als 3 T p sein und synchron mit der positiven Taktflanke beendet werden (bb. Ü9.). bb. Ü9. Signalzeitplan des digitalen Differenzierers nleitung: Setzen Sie zur Lösung der ufgabe ein synchrones Schaltwerk vom Typ Mealy-utomat ein. Stellen Sie das entsprechende Zustandsdiagramm und die Zustandsfolgetabelle auf und reduzieren Sie die Zustände soweit wie möglich. Entwerfen Sie anhand der Zustandsfolgetabelle ein synchrones Schaltwerk mit D- Flipflops als Zustandsvariablenspeicher. Lösung: Zur Lösung der ufgabe wird zunächst ein Zustandsdiagramm entworfen. nhand der ufgabenstellung wird schrittweise das Zustandsdiagramm a) in bb. Ü9.2 entwickelt. Nach dem Einschalten der Versorgungsspannung (pon) wird der nfangszustand erreicht. In diesem Zustand gibt das Schaltwerk am usgang Y für X = den Logik-Zustand und für X = den Logik-Zustand aus. So-

35 Übungsaufgaben mit Lösungen 35 lange X = ist, bleibt das Schaltwerk in einer Warteschleife. Für X = erfolgt mit der nächsten positiven Taktflanke der Übergang in den Zustand. Im Zustand wird an Y für eine Taktperiode der Logik-Zustand ausgegeben. Mit der nächsten positiven Taktflanke erfolgt für X = (kurzer Eingangsimpuls) der Übergang nach Zustand 4, während für X = (breiter Impuls) der Folgezustand 2 erreicht wird. In beiden Zuständen (2 und 4) wird Y = ausgegeben. Da im Zustand für X = und in zwei aufeinander folgenden Zuständen ( und 2 bzw. und 4) am usgang Y = ausgegeben wird, sind die usgangsimpulse breiter als 2 T p und schmaler als 3 T p. bb. Ü9.2 Zustandsdiagramm des digitalen Differenzierers Vom Zustand 4 ausgehend wird für X = ( X = ) der nfangszustand erreicht. Im Zustand 4 kann aufgrund der Randbedingung Minimaler bstand zwischen zwei Eingangsimpulsen ist größer als 3 T p das Eingangssignal X nicht werden. Im Zustand 2 verzweigt sich der Signalfluss. Für X = ( X = ) wird der nfangszustand und für X = der Zustand 3 erreicht. Im Zustand 3 wartet das Schaltwerk das Impulsende (Warteschleife für X = ) ab und geht dann für X = ( X = ) mit der nächsten positiven Flanke in den Zustand über. Im Zustand 3 wird Y = ( Y = ) ausgegeben. Die zu dem Zustandsdiagramm in bb. Ü9.2 a) zugehörige Zustandsfolgetabelle ist in Tabelle Ü9. a) dargestellt. Die Zustände 2 und 4 in Tabelle Ü9. a) sind äquivalent und werden zu dem Zustand 2 zusammengefasst. Die sich daraus ergebende reduzierte Zustandsfolgetabelle ist in Tabelle Ü9. b) abgebildet und das zugehörige Zustandsdiagramm ist in bb. Ü9.2 b) skizziert.

36 36 Übungsaufgaben mit Lösungen Tabelle Ü9. Gegenüberstellung der nichtreduzierten und der reduzierten Zustandsfolgetabelle a) Zustandsfolgetabelle b) Reduzierte Zustandsfolgetabelle Eingang Zustand usgang m m m+ m X Z Z Y Eingang Zustand usgang m m m+ m X Z Z Y Tabelle Ü9.2 usführliche Form der reduzierten Zustandsfolgetabelle Eing. (dez.) Zustand (dez.) usg. (dez.) Eigangsvariablen Zustandsvariablen m m m+ m m m m+ m X Z Z Y X Z Z2 Z Z2 Y ugangsvariablen nhand der ausführlichen Zustandsfolgetabelle in Tabelle Ü9.2 werden mit Hilfe der KV-Diagramme (bb. Ü9.3) die Gleichungen D und D2 für die D- Flipflops sowie die usgangsgleichung Y bestimmt.

37 Übungsaufgaben mit Lösungen 37 Für die KV-Diagramme gilt folgende Zuordnung: X Z Z2 bb. Ü9.3 KV-Diagramme für D, D2 und Y Minimale Gleichungen für Z, Z2 und Y: Z = D = () (5) (6) (7) = Z Z2 X Z Z2 = D2 = (4) (6) (7) = X Z2 X Z Y = (4) () (5) (2) (6) = Z Z2 Z Z2 X Z2 Das gesuchte Schaltwerk ist in bb. Ü9.4 abgebildet. Für die technische Realisierung kann ein PL mit zusätzlichen Registerausgängen eingesetzt werden. In diesem Fall wird zur Lösung der ufgabe nur ein integrierter Baustein benötigt. Das Schaltwerk in bb. Ü9.4 ist ein Mealy-utomat mit asynchroner usgabe am usgang Y. Die im Zustandsdiagramm (bb. Ü9.2) markierte Möglichkeit, über einen Einschaltimpuls ( pon) den nfangszustand zu erreichen, wird im Schaltwerk über den negierten Rücksetzeingang am D-Register mit pon = realisiert. bb. Ü9.4 Schaltung des digitalen Differenzierers VHDL-Modell: Digitaler Differenzierer als Mealy-utomat library ieee; use ieee.std_logic_64.all; entity diff_mealy is port ( clk, pon, x: in std_logic; y: out std_logic); end diff_mealy;

38 38 Übungsaufgaben mit Lösungen architecture diff_mealy_arch of diff_mealy is type zustand_type is (S, S, S2, S3); signal zustand: zustand_type; zustand_machine: process (clk, pon) if pon='' then zustand <= S; -- pon = --> nfangszustand elsif clk'event and clk = '' then case zustand is when S => if x='' then zustand <= S; elsif x='' then zustand <= S; when S => zustand <= S2; when S2 => if x='' then zustand <= S; elsif x='' then zustand <= S3; when S3 => if x='' then zustand <= S3; elsif x='' then zustand <= S; end case; end process; y_assignment: -- Kombinatorische usgabe fuer Mealy-utomat y <= '' when (zustand = S and x='') else '' when (zustand = S and x='') else '' when (zustand = S) else '' when (zustand = S2) else ''; end diff_mealy_arch; ufgabe 2: Entwurf eines synchronen Schaltwerks mit Registerausgabe [VHDL] Für die Steuerung der Datenübergabe von einem Rechner an zwei Messgeräte soll ein synchrones Schaltwerk entworfen werden. Es werden nacheinander Datenwörter gesendet, die von den Messgeräten empfangen und quittiert werden. Ein neues Datenwort wird mit der positiven Flanke am usgang Y des Schaltwerks gesendet und bleibt bis zur negativen Flanke von Y gültig. Der usgang Y soll solange im -Zustand bleiben, bis beide Messgeräte das Datenwort empfangen und und durch einen -Impuls quittiert haben. Nach einer kurzen Pause wird anschließend das nächste Datenwort mit einem neuen -Impuls am usgang Y übergeben (s. bb. Ü2.).

39 Übungsaufgaben mit Lösungen 39 Es gilt: a) Die Übernahme der Daten am Messgerät ist mit der negativen Flanke des Quittungssignals X bzw. X2 abgeschlossen. b) Impulsbreite von X bzw. X2 ist größer als,2 s und kleiner als s. c) Impulspause am usgang Y ist größer als,5 s. Zur Lösung der ufgabenstellung soll ein synchroner Moore-utomat mit Registerausgabe eingesetzt werden. Bestimmen Sie die erforderliche Taktfrequenz und begründen Sie Ihre Wahl. Geben Sie das Zustandsdiagramm und die Zustandsfolgetabelle in ausführlicher Form an. Reduzieren Sie die nzahl der Zustände, falls es möglich ist. Die digitale Schaltung ist nicht erforderlich. Geben Sie ein geeignetes VHDL-Modell an, das eine Registerausgabe ermöglicht. nm.: Es ist sichergestellt, dass stets beide Messgeräte Quittungssignale senden. bb. Ü2. Beispiel für den Signalverlauf am Ein- und am usgang des Schaltwerks Lösung: Es soll gelten:,5 s T.2 s, damit ein Impuls einerseits sicher erfasst wird und andererseits die Impulspause an Y groß genug ist. Gewählt wird T = s. Y an ein Register (z.b. D-Flipflop) angeschlossen und der entsprechende Wert wird mit der nächsten aktiven Taktflanke als Y am Registerausgang ausgegeben. Tabelle Ü2. Zustandsfolgetabelle für einen Moore-tomaten mit Registerausgabe X X2 Z Z2 Z3 Z Z2 Z3 Y

40 4 Übungsaufgaben mit Lösungen VHDL-Modell: Moore-utomat mit Registerausgabe library ieee; use ieee.std_logic_64.all; entity daten_ueber is port ( pon,clk: in std_logic; x: in std_logic_vector ( to 2); y: out std_logic); end daten_ueber; architecture arch_ueber of daten_ueber is type states is (S,S,S2,S3,S4); -- Typdeklaration signal zustand: states; reg_aus: process(clk, pon) if pon=''then -- pon = ---> nfangszustand zustand <= S; y <= ''; elsif (clk'event and clk = '') then y <= ''; -- y = ist die Voreinstellung case zustand is -- wenn IST-Zustand gleich... when S => zustand <= S; when S => case x is when "" => zustand <= S; when "" => zustand <= S4; when "" => zustand <= S2; when others => zustand <= S3; end case; when S2 => if (x = "" or x = "") then -- X= oder X=2 zustand <= S2; else -- X= oder X=3 zustand <= S3; when S3 => if x="" then zustand <= S; y <= ''; -- Folgezustand ist abhaengig von x -- Y= gilt fuer den Zustand S

10 Übungsaufgaben mit Lösungen

10 Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen Zu den einzelnen Kapiteln sind zahlreiche Übungsaufgaben mit ausführlichen Musterlösungen angegeben. Der Leser soll möglichst die Aufgaben selbständig lösen und anschließend

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik

Institut für Informatik. Aufgaben zum Seminar Technische Informatik UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.4.1. - erechnung einer Transistorschaltung mit Emitterwiderstand

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

183.580, WS2012 Übungsgruppen: Mo., 22.10.

183.580, WS2012 Übungsgruppen: Mo., 22.10. VU Grundlagen digitaler Systeme Übung 2: Numerik, Boolesche Algebra 183.580, WS2012 Übungsgruppen: Mo., 22.10. Aufgabe 1: Binäre Gleitpunkt-Arithmetik Addition & Subtraktion Gegeben sind die Zahlen: A

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

Übungskomplex Felder (1) Eindimensionale Felder Mehrdimensionale Felder

Übungskomplex Felder (1) Eindimensionale Felder Mehrdimensionale Felder Übungskomplex Felder (1) Eindimensionale Felder Mehrdimensionale Felder Hinweise zur Übung Benötigter Vorlesungsstoff Ab diesem Übungskomplex wird die Kenntnis und praktische Beherrschung der Konzepte

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Programmierung in C. Grundlagen. Stefan Kallerhoff

Programmierung in C. Grundlagen. Stefan Kallerhoff Programmierung in C Grundlagen Stefan Kallerhoff Vorstellungsrunde Name Hobby/Beruf Schon mal was programmiert? Erwartungen an den Kurs Lieblingstier Für zu Hause C-Buch online: http://openbook.rheinwerk-verlag.de/c_von_a_bis_z/

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. www.kit.edu WOCHE 12 AM 22.01.

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. www.kit.edu WOCHE 12 AM 22.01. DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 12 AM 22.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

das ABS kontrolliert ob Fehler da sind (sieht man an den ABS Warnlampen)

das ABS kontrolliert ob Fehler da sind (sieht man an den ABS Warnlampen) ABS-Diagnose Anleitung für ABS2- Diagnose Achtung Wenn man am ABS System arbeitet, muss man genau die Anweisungen in dieser Anleitungen befolgen. Da es sich hier um eine Bremsanlage handelt sollten nur

Mehr

Digital-Technik. Grundlagen und Anwendungen. Teil IV

Digital-Technik. Grundlagen und Anwendungen. Teil IV Digital-Technik Grundlagen und Anwendungen Teil IV 1 Übersicht 10-11 10 Zeitabhängige binäre Schaltungen 10.1 Bistabile Kippstufen (Flipflops) 10.2 Zeitablaufdiagramme 10.3 Monostabile Kippstufen 10.4

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Arbeitspunkt einer Diode

Arbeitspunkt einer Diode Arbeitspunkt einer Diode Liegt eine Diode mit einem Widerstand R in Reihe an einer Spannung U 0, so müssen sich die beiden diese Spannung teilen. Vom Widerstand wissen wir, dass er bei einer Spannung von

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1 Schaltwerke (13) - Automaten (13.1) α SCHALTWERKE (13) [04.06.02, Folie 481, Übungen 07] Schaltwerke sind wesentliche Funktionseinheiten eines Computers. Beispiele hierfür sind das Rechen- und das Leitwerk

Mehr

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen:

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: VBA Programmierung mit Excel Schleifen 1/6 Erweiterung der Aufgabe Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: Es müssen also 11 (B L) x 35 = 385 Zellen berücksichtigt

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

BFI Industrie-Elektronik GmbH + Co. KG

BFI Industrie-Elektronik GmbH + Co. KG BFI Industrie-Elektronik GmbH + Co. KG ELEKTRONIK AC-Display BFI Industrie-Elektronik GmbH & Co. KG Winchenbachstr. 3b 42281 Wuppertal Tel.: 0202/260446-0 Fax: 0202/260446-24 info@bfi-elektronik.de www.bfi-elektronik.de

Mehr

Inhaltsverzeichnis WWW.STEMMER-IMAGING.COM

Inhaltsverzeichnis WWW.STEMMER-IMAGING.COM Inhaltsverzeichnis 1 Identifizierung...2 2 Sicherheitshinweise...3 3 Das Anschließen...3 3.1 Für die Nutzung der RS232 Schnittstelle... 3 3.2 Für die Nutzung der USB Schnittstelle... 3 4 Die Inbetriebnahme...3

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Klausur 23.02.2010, Grundlagen der Elektrotechnik I (BSc. MB, SB, VT, EUT, BVT, LUM) Seite 1 von 6. Antwort (ankreuzen) (nur eine Antwort richtig)

Klausur 23.02.2010, Grundlagen der Elektrotechnik I (BSc. MB, SB, VT, EUT, BVT, LUM) Seite 1 von 6. Antwort (ankreuzen) (nur eine Antwort richtig) Klausur 23.02.2010, Grundlagen der Elektrotechnik I (BSc. MB, SB, VT, EUT, BVT, LUM) Seite 1 von 6 1 2 3 4 5 6 Summe Matr.-Nr.: Nachname: 1 (5 Punkte) Drei identische Glühlampen sind wie im Schaltbild

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

GDI-Business-Line 3.x Ticketverwaltung

GDI-Business-Line 3.x Ticketverwaltung Ticketverwaltung Seite 1/6 GDI-Business-Line 3.x Ticketverwaltung Kurzdokumentation Stand: 04. Januar 2012 3.2.0.200 Ticketverwaltung Seite 2/6 Einführung...3 Lizenz...3 Funktionsweise...3 Vergabe der

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Hochschule Darmstadt Informatik-Praktikum (INF 1) WS 2015/2016 Wirtschaftsingenieur Bachelor 5. Aufgabe Datenstruktur, Dateieingabe und -ausgabe

Hochschule Darmstadt Informatik-Praktikum (INF 1) WS 2015/2016 Wirtschaftsingenieur Bachelor 5. Aufgabe Datenstruktur, Dateieingabe und -ausgabe Aufgabenstellung Für eine Hausverwaltung sollen für maximal 500 Wohnungen Informationen gespeichert werden, die alle nach der gleichen Weise wie folgt strukturiert sind: Art Baujahr Wohnung Whnginfo Nebenkosten

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter Labor Mikroelektronik Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich Versuch 2: CMOS-Inverter Stand: 19.4.2010 1 Aufgabenstellung Sie sollen in diesem Versuch einen

Mehr

Lösung. Prüfungsteil 1: Aufgabe 1

Lösung. Prüfungsteil 1: Aufgabe 1 Zentrale Prüfung 01 Lösung Diese Lösung wurde erstellt von Cornelia Sanzenbacher. Sie ist keine offizielle Lösung des Ministeriums für Schule und Weiterbildung des Landes. Prüfungsteil 1: Aufgabe 1 a)

Mehr

Beispiel(unten ist der Spielfeldrand):

Beispiel(unten ist der Spielfeldrand): Anleitung Side by Side ist ein Puzzle mit einfachen Regeln, das in einem 6x6 (oder größerem) Gitter gespielt wird. Ziel des Spieles ist es, die leeren Kästchen mit den Zahlen 1, 2, 3, 4 oder einem X zu

Mehr

Programmierkurs Java

Programmierkurs Java Programmierkurs Java Dr. Dietrich Boles Aufgaben zu UE16-Rekursion (Stand 09.12.2011) Aufgabe 1: Implementieren Sie in Java ein Programm, das solange einzelne Zeichen vom Terminal einliest, bis ein #-Zeichen

Mehr

Protokoll des Versuches 7: Umwandlung von elektrischer Energie in Wärmeenergie

Protokoll des Versuches 7: Umwandlung von elektrischer Energie in Wärmeenergie Name: Matrikelnummer: Bachelor Biowissenschaften E-Mail: Physikalisches Anfängerpraktikum II Dozenten: Assistenten: Protokoll des Versuches 7: Umwandlung von elektrischer Energie in ärmeenergie Verantwortlicher

Mehr

11 Sequentielle Schaltungen

11 Sequentielle Schaltungen 11 Sequenielle Schalungen E 1 E 2 Kombinaorische Schalung A 2 A=f(E) E n A 1 A m E 1 A 1 E 2 Sequenielle A 2 Schalung E n A=f(E, Z) Z'=g(E, Z) A m Abbildung 1: Kombinaorische / Sequenielle Schalung Z'

Mehr

2. Übung: Flipflops und Automaten Abteilung Verteilte Systeme, Universität Ulm

2. Übung: Flipflops und Automaten Abteilung Verteilte Systeme, Universität Ulm 2. Übung: Flipflops und Automaten 1. Aufgabe: Steuerungslogik für Kaffeeautomat Erstellen Sie eine Steuerungslogik für einen einfachen Kaffeautomaten mithilfe eines Mealy-Automats. Ein Becher Kaffee kostet

Mehr

Quadratische Gleichungen

Quadratische Gleichungen Quadratische Gleichungen Aufgabe: Versuche eine Lösung zu den folgenden Zahlenrätseln zu finden:.) Verdoppelt man das Quadrat einer Zahl und addiert, so erhält man 00..) Addiert man zum Quadrat einer Zahl

Mehr

Synchrone Zähler. Synchroner Dualzähler

Synchrone Zähler. Synchroner Dualzähler Synchrone Zähler alle Zählstufen haben bezüglich des Zähltaktes etwa die gleiche Verzögerungszeit. Dadurch Vorteile gegenüber asynchronen Zählern. Entwurf von Synchronzählern aufwendiger. auf den Eingang

Mehr