Comprehensive SystemVerilog

Größe: px
Ab Seite anzeigen:

Download "Comprehensive SystemVerilog"

Transkript

1 SystemVerilog (IEEE 1800 TM ) ist eine bedeutende neue Sprache, die auf der weitverbreiteten Hardwarebeschreibungssprache Verilog basiert. Die SystemVerilog-Spracherweiterungen verbessern Verilog in verschiedenen Bereichen und ermöglichen damit Produktivitätssteigerungen für RTL Designer, Verifikationsingenieure und Architektur- und System-Designer. Comprehensive SystemVerilog bietet ein komplettes und integriertes Lösungskonzept für Verifikationsingenieure und Nutzer, die die Funktionen von SystemVerilog sowohl für Design als auch Verifikation abschätzen möchten. Der Aufbau des Trainings ermöglicht Ingenieuren, ihr Fachwissen in der kompletten Bandbreite der SystemVerilog-Eigenschaften, d.h. sowohl in Design als auch Verifikation zu erweitern. Dies schließt auch die Anforderungen von Verifikationsingenieuren ein, die das Potential der Class-based Verifikation und Object-oriented Techniken, sowie RTL Coding, Assertions und Testbenches ausschöpfen möchten. Designer, die nicht vorhaben, SystemVerilog für Class-based Verifikation zu nutzen, sollten das kürzere SystemVerilog for Design Groups Training besuchen, das den ersten drei Tagen des Comprehensive SystemVerilog Trainings inhaltlich gleicht. Die Workshops nehmen ca. 50% der Unterrichtszeit ein und basieren auf sorgfältig ausgearbeiteten Übungen, die die Kursteilnehmer in ihren neu erworbenen Kenntnissen herausfordern und das Erlernte untermauern. Da Doulos herstellerunabhängig ist, profitieren die Kursteilnehmer von objektivem Training und üben das Gelernte gleichzeitig mit den Tools und Methodologies ihrer Wahl. In diesem Training werden u.a. folgende Tools unterstützt: Simulation: Cadence Incisive, Mentor Graphics Questa TM Sim, Synopsys VCS Synthesis: Mentor Graphics Precision TM, Synopsys Design Compiler, Synplicity Synplify Weitere Tools können auf Anfrage zur Verfügung gestellt werden. Bitte wenden Sie sich an Doulos, falls Ihre Tools hier nicht aufgeführt sind. Zielgruppe Designer, die die Class-based Verifikationseigenschaften von SystemVerilog für die Testbench- Entwicklung und die SystemVerilog Sprache für RTL Design nutzen möchten Verifikationsingenieure, deren Ziel es ist, Coverage-gesteuerte Verifikationsansätze zum ersten Mal mit SystemVerilog anzuwenden Verifikationsingenieure, die von anderen bewährten Verifikationssprachen und Testbench- Automationstechniken zu SystemVerilog Class-based Verifikation übergehen möchten Ingenieure und Vorgesetzte, die das volle Leistungsvermögen von SystemVerilog für Design und Verifikation bewerten möchten EDA Support-Ingenieure, die ein umfassendes Verständnis dafür erlangen möchten, wie ihre Kunden SystemVerilog am produktivsten für Design und Verifikation einsetzen können Continued

2 Kursinhalte Das Training ist in verschiedene Abschnitte untergliedert: Fundamentals of SystemVerilog for Design erklärt den praktischen Gebrauch von SystemVerilog für RTL Design und Verifikationsumgebung und ist die Grundlage für den Gebrauch von SystemVerilog für die Verifikation. SystemVerilog Assertions erklärt die Grundsätze von Assertion-based Verifikation und Design, den Haupteigenschaften der SystemVerilog Assertion-Sprache zur Erstellung von individuellen Assertions sowie Packaging und Gebrauch von Assertion Checkers Libraries. Module-based SystemVerilog Verification erklärt den Gebrauch von SystemVerilog für den Bau effektiver Block-Level Testbenches. Diesem liegt Best-practice Testbench-Architektur zu Grunde, die auf Verilog-Modulen basiert. Class-based SystemVerilog Verification erklärt wie man mit Hilfe von SystemVerilogs Testbench-Automation-Fähigkeiten anspruchsvolle objektorientierte Testbenches entwickelt, die eine Constrained Random Coverage-gesteuerte Methode unterstützen. Diese Eigenschaften ermöglichen es, Testbenches auf höherer Abstraktionsebene zu entwickeln und produktiver als mit Standard-Hardwarebeschreibungssprachen zu arbeiten. Dieses Training beinhaltet objektive und aktuelle Erläuterungen zu den drei bekanntesten öffentlichen Verifikationsmethodik-Ansätzen und erklärt die Haupteigenschaften der SystemVerilog-Sprache, die die Verfikationsmethoden unterstützen. Spezifisches Methodiktraining wird von Doulos in Zusammenarbeit mit führenden Toolherstellern für Inhouse-Teamtraining angeboten (siehe Doulos Modular SystemVerilog Programm). Bitte kontaktieren Sie Doulos, um Ihre individuellen Bedürfnisse zu besprechen. Voraussetzungen Fundierte praktische Grundkenntnisse in Verilog sind unerlässlich. Ingenieure, die keine Hardwarebeschreibungssprachvorkenntnisse haben, müssen vor der Teilnahme an diesem Training den Doulos Comprehensive Verilog Kurs oder ein vergleichbares Training besuchen. Für diejenigen, die zwar keine Verilog-Vorkenntnisse haben, aber fundierte Erfahrung mit VHDL, bietet Doulos einen Fast-Track Verilog for VHDL Users Kurs an, der die perfekte Grundlage für das SystemVerilog Training bietet. Der Fast-Track Kurs findet normalerweise direkt vor dem Comprehensive SystemVerilog Training am selben Ort statt. Termine und Info bei Für Inhouse-Training können wir das Vorläufer-Verilogtraining auf Ihre speziellen Teambedürfnisse zuschneiden und mit den passenden SystemVerilog-Modulen kombinieren, so dass Ihr Team genau das erlernt, was es braucht (siehe auch Modular SystemVerilog). Bitte wenden Sie sich an Doulos, um Ihre individuellen Bedürfnisse zu besprechen.

3 Kursunterlagen Die Doulos Kursunterlagen sind für ihren umfassenden Informationsgehalt und Ihre Benutzerfreundlichkeit allgemein bekannt. In ihrem Aufbau, Inhalt und ihrer Themenbehandlung sind sie einzigartig im HDL-Trainingsbereich, was sie zu begehrten Nachschlagewerken hat werden lassen. Im Kurspaket sind enthalten: Kursskripte mit vollständigem Stichwortverzeichnis, die ein umfassendes Referenzhandbuch darstellen Ein Arbeitsbuch mit vielen praktischen Beispielen und Lösungen als Unterstützung bei der Wissensanwendung Doulos SystemVerilog Golden Reference Guide für Sprache, Syntax, Semantik und Tipps Struktur und Inhalt Fundamentals of SystemVerilog for Design (tag 1-3) The SystemVerilog data type system enum typedef struct union packed/unpacked packages and $unit using arrays in SystemVerilog array and structure literals, assignment patterns Nets and variables Key changes in Verilog-2005 and SystemVerilog continuous assignment to variables modified driver and connection rules data types on ports and nets Modules and processes Port connection shorthand type parameters synthesis idioms for processes miscellaneous improvements to the language Design applications of interfaces The interface construct interfaces to encapsulate communication modports synthesis of interfaces and modports imported functions for design SystemVerilog Assertions Introduction to assertions Assertions, properties, sequences clocking and sampling property implication uses of assertions simulation of assertions formal tools Assertion methodology Methodology consequences of assertion-based design and verification assertion and assumption benefits of assertions to the designer protocol checkers A brief introduction to SVA syntax Writing simple assertions of your own sequences and the ## operator repetition and time ranges sequence fusion overview of temporal operators local variables and actions in assertions Packaging assertions Assertions in interfaces and modules the bind construct deploying verification IP, particularly assertion-based IP

4 Module-based SystemVerilog Verification Verification for design groups Bus functional models testbench architecture in classic Verilog stimulus and response timing Using SystemVerilog to construct module-level testbenches Clocking blocks to manage timing testbench applications of interfaces task and function enhancements in SystemVerilog decoupling test cases from the testbench Dynamic data types strings queues dynamic arrays associative arrays queue and array methods foreach loop Testbench automation Introduction to testbench automation concepts randomisation, checking and coverage the need for constraints randomisation of stimulus data using std::randomize and traditional Verilog distribution functions procedural randomisation: randcase, randsequence collecting functional coverage data Class-based SystemVerilog Verification (Days 4 and 5) Introducing classes SystemVerilog's class syntax describing stimulus data and a stimulus generator randomization of class members (without constraints) objects and references constructors and new shallow copy using new writing a custom copy method Hooking classes to the DUT Dynamically-constructed test environment vs. statically-elaborated DUT and test harness using virtual interface and class-based BFMs the role of clocking and program blocks appropriate structure for DUT, clock generators and other structural elements constructing and launching the test environment using program+initial simple class-based testbench architecture Varying the Stimulus Generator template objects introduction to constraints implication constraints derived classes upcasting and the is-a relationship virtual methods Components and Channels FIFO channels to decouple components base class for transaction data downcasting and $cast parameterized classes and macros for specialization running self-contained components with fork join Reusable Testbench Components Maintaining a component instance hierarchy virtual base class for components launching a task with fork join_none testbench component arhitecture preview of standard methodologies (OVM, VMM) Monitor and Check Components Passive monitors and unbounded FIFOs checker components and scoreboards stopping the test cleanly semaphore for mutual exclusion

5 Coverage in Classes Coverage-driven TBA methodology coverage planning as the first step in a verification process analysing and interpreting coverage data SystemVerilog coverage constructs in detail covergroup sampling per-instance coverage in testbench components covergroup options transition and cross coverage controlling bins structure coverage reports Verwandte Trainings Comprehensive Verilog (4 Tage) Adopter Classes (2 Tage) Modular SystemVerilog (nur Inhouse) Weitere Informationen Um einen Platz zu reservieren oder Preisinformationen zu erhalten, wenden Sie sich bitte an das Doulos Sales Team. Doulos acknowledges all trademarks and registered trademarks as the property of their respective owners.

Verifikation mit OVM/System Verilog Embedded Computing Conference 2009

Verifikation mit OVM/System Verilog Embedded Computing Conference 2009 ECC 2009 Verifikation mit OVM/System Verilog Embedded Computing Conference 2009 Wohin geht die Zukunft? IME, 2004 Institut für Mikroelektronik, Steinackerstrasse 1, 5210 Windisch 25.05.2009 2 Übersicht

Mehr

Erfahrungen mit Hartz IV- Empfängern

Erfahrungen mit Hartz IV- Empfängern Erfahrungen mit Hartz IV- Empfängern Ausgewählte Ergebnisse einer Befragung von Unternehmen aus den Branchen Gastronomie, Pflege und Handwerk Pressegespräch der Bundesagentur für Arbeit am 12. November

Mehr

Umfrage. Didaktischer Kommentar. Lernplattform

Umfrage. Didaktischer Kommentar. Lernplattform Lernplattform Umfrage Didaktischer Kommentar Die Aktivität Umfrage ist ein nützliches Tool, um Einstellungen der Kursteilnehmer zu Beginn und zum Ende des Kurses abzufragen und zu vergleichen. Die Umfrage

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein: - Ein Bootimage ab Version 7.4.4. - Optional einen DHCP Server.

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein: - Ein Bootimage ab Version 7.4.4. - Optional einen DHCP Server. 1. Dynamic Host Configuration Protocol 1.1 Einleitung Im Folgenden wird die Konfiguration von DHCP beschrieben. Sie setzen den Bintec Router entweder als DHCP Server, DHCP Client oder als DHCP Relay Agent

Mehr

Avenue Oldtimer Liebhaber- und Sammlerfahrzeuge. Ihre Leidenschaft, gut versichert

Avenue Oldtimer Liebhaber- und Sammlerfahrzeuge. Ihre Leidenschaft, gut versichert Avenue Oldtimer Liebhaber- und Sammlerfahrzeuge Ihre Leidenschaft, gut versichert Die Versicherung für aussergewöhnliche Fahrzeuge Sicherheit für das Objekt Ihrer Leidenschaft Die Versicherung von Sammlerfahrzeugen

Mehr

Glaube an die Existenz von Regeln für Vergleiche und Kenntnis der Regeln

Glaube an die Existenz von Regeln für Vergleiche und Kenntnis der Regeln Glaube an die Existenz von Regeln für Vergleiche und Kenntnis der Regeln Regeln ja Regeln nein Kenntnis Regeln ja Kenntnis Regeln nein 0 % 10 % 20 % 30 % 40 % 50 % 60 % 70 % 80 % 90 % Glauben Sie, dass

Mehr

Zertifizierter Verkaufsleiter (S&P)

Zertifizierter Verkaufsleiter (S&P) Zertifizierter Verkaufsleiter (S&P) Zertifizierten Verkaufsleiter (S&P) durch. Durch hochkarätige Referenten aus der Praxis bietet dieses zertifizierte Programm eine fundierte Ausbildung auf höchstem Niveau

Mehr

MSXFORUM - Exchange Server 2003 > SMTP Konfiguration von Exchange 2003

MSXFORUM - Exchange Server 2003 > SMTP Konfiguration von Exchange 2003 Page 1 of 8 SMTP Konfiguration von Exchange 2003 Kategorie : Exchange Server 2003 Veröffentlicht von webmaster am 25.02.2005 SMTP steht für Simple Mail Transport Protocol, welches ein Protokoll ist, womit

Mehr

L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016

L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016 L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016 Referentin: Dr. Kelly Neudorfer Universität Hohenheim Was wir jetzt besprechen werden ist eine Frage, mit denen viele

Mehr

MOC 50596A: Dashboards für Monitoring, Analyse und Verwaltung

MOC 50596A: Dashboards für Monitoring, Analyse und Verwaltung MOC 50596A: Dashboards für Monitoring, Analyse und Verwaltung Kompakt-Intensiv-Training Diese Schulung bereitet Sie optimal auf die MOC-Zertifzierung vor. Diese Schulung befähigt Sie dazu, die Terminologie

Mehr

Ausgangslage: Modul 1 Modul 2 Modul 3

Ausgangslage: Modul 1 Modul 2 Modul 3 Aus- und Weiterbildung 2015 Ausgangslage: Der Energiemarkt ist einem grossen Wandel unterworfen. Der Anteil von Heizöl im Energiemix ist rückläufig. Die erneuerbaren Energieträger haben an Bedeutung gewonnen.

Mehr

Step by Step Softwareverteilung unter Novell. von Christian Bartl

Step by Step Softwareverteilung unter Novell. von Christian Bartl Step by Step Softwareverteilung unter Novell von Softwareverteilung unter Novell 1) Starten von einfachen *.EXE-Dateien: Starten sie ConsoleOne Erstellen sie eine eigene Organisationseinheit für ihre Anwendungen

Mehr

Rundung und Casting von Zahlen

Rundung und Casting von Zahlen W E R K S T A T T Rundung und Casting von Zahlen Intrexx 7.0 1. Einleitung In diesem Werkstattbeitrag erfahren Sie, wie Zahlenwerte speziell in Velocity, aber auch in Groovy, gerundet werden können. Für

Mehr

1 WEB ANALYTICS: PROFESSIONELLE WEB-ANALYSEN UND REPORTING FÜR IHR ONLINE MARKETING.

1 WEB ANALYTICS: PROFESSIONELLE WEB-ANALYSEN UND REPORTING FÜR IHR ONLINE MARKETING. 1 WEB ANALYTICS: PROFESSIONELLE WEB-ANALYSEN UND REPORTING FÜR IHR ONLINE MARKETING. Web Analytics, Reporting & Beratung Erfolgskontrolle mit professionellen Web Analysen! Web Analytics mit Google Analytics

Mehr

Gussnummern-Lesesystem

Gussnummern-Lesesystem Gussnummern-Lesesystem Die Einzigartigkeit des visolution-systems liegt in der Verwendung von 3D- Bildverarbeitung. Bei dem Erstellen von Nummern auf Gussteilen kann die Qualität der Gussnummern sowohl

Mehr

mit attraktiven visuellen Inhalten

mit attraktiven visuellen Inhalten Besser bloggen mit attraktiven visuellen Inhalten Copyright 2015 und für den Inhalt verantwortlich: Online Marketing Services LCC. 108 West 13th Street 19801 Wilmington USA Google Doodles die modifizierten

Mehr

Konzentration auf das. Wesentliche.

Konzentration auf das. Wesentliche. Konzentration auf das Wesentliche. Machen Sie Ihre Kanzleiarbeit effizienter. 2 Sehr geehrte Leserin, sehr geehrter Leser, die Grundlagen Ihres Erfolges als Rechtsanwalt sind Ihre Expertise und Ihre Mandantenorientierung.

Mehr

Inhalt. 1. Einleitung Hilfe, mein Kind kann nicht richtig schreiben und lesen! Seite

Inhalt. 1. Einleitung Hilfe, mein Kind kann nicht richtig schreiben und lesen! Seite Inhalt 1. Einleitung Hilfe, mein Kind kann nicht richtig schreiben und lesen! 2. Praxisbeispiele Wie sieht ein Kind mit Legasthenie? Wie nimmt es sich wahr? 3. Begriffsklärung Was bedeuten die Bezeichnungen

Mehr

PhysioPlus: Ihr gratis Gesundheits-Check.

PhysioPlus: Ihr gratis Gesundheits-Check. Gesetzliche Krankenkasse Körperschaft des öffentlichen Rechts PhysioPlus: Ihr gratis Gesundheits-Check. In Zusammenarbeit mit dem Deutschen Verband für Physiotherapie Zentralverband der Physiotherapeuten/Krankengymnasten

Mehr

Professionelle Online-Konzeption

Professionelle Online-Konzeption Professionelle Online-Konzeption Kompakt-Intensiv-Training In der Schulung "Professionelle Online-Konzeption" lernen Sie die Neukonzeption bzw. den Relaunch von Websites oder Apps von Grund auf kennen.

Mehr

So gehts Schritt-für-Schritt-Anleitung

So gehts Schritt-für-Schritt-Anleitung So gehts Schritt-für-Schritt-Anleitung Software WISO Mein Büro Thema Eigene Auswertungen, Tabellenauswertungen Version/Datum V 13.00.05.101 Über die Tabellen-Auswertungen ist es möglich eigene Auswertungen

Mehr

Arbeitsblätter. Sinnvolle Finanzberichte. Seite 19

Arbeitsblätter. Sinnvolle Finanzberichte. Seite 19 Seite 19 Arbeitsblätter Seite 20 Dieses Arbeitsblatt wird Sie Schritt für Schritt durch das Verfahren von Finanzanalysen geleiten. Sie gehen von Ihren Finanzberichten aus egal wie einfach oder hoch entwickelt

Mehr

Rundum-G. Die Anforderungen durch ständig steigende

Rundum-G. Die Anforderungen durch ständig steigende Rundum-G LevelOne bietet für jede Netzwerkanwendung alles aus einer Hand. Produkte, Schulungen und die individuelle Projektunterstützung für den Fachhandel. Die Anforderungen durch ständig steigende Produktangebote

Mehr

Handbuch ECDL 2003 Professional Modul 3: Kommunikation Kalender freigeben und andere Kalender aufrufen

Handbuch ECDL 2003 Professional Modul 3: Kommunikation Kalender freigeben und andere Kalender aufrufen Handbuch ECDL 2003 Professional Modul 3: Kommunikation Kalender freigeben und andere Kalender aufrufen Dateiname: ecdl_p3_02_03_documentation.doc Speicherdatum: 08.12.2004 ECDL 2003 Professional Modul

Mehr

Arbeitshilfe "Tipps für Gespräche mit Vorgesetzten und KollegInnen" Was gilt für mich?

Arbeitshilfe Tipps für Gespräche mit Vorgesetzten und KollegInnen Was gilt für mich? Arbeitshilfe "Tipps für Gespräche mit Vorgesetzten und KollegInnen" Mit dieser Arbeitshilfe können Sie Gespäche über Veränderungen an Ihrem Arbeitsplatz wirkungsvoll vorbereiten. Tipps Bereiten Sie sich

Mehr

Zeitmanagement. Wie Sie Ihre Zeit erfolgreich nutzen. www.borse-coaching.de. Borse Training & Coaching Wilhelmstr. 16 65185 Wiesbaden 0611 880 45 91

Zeitmanagement. Wie Sie Ihre Zeit erfolgreich nutzen. www.borse-coaching.de. Borse Training & Coaching Wilhelmstr. 16 65185 Wiesbaden 0611 880 45 91 Zeitmanagement Wie Sie Ihre Zeit erfolgreich nutzen Borse Training & Coaching Wilhelmstr. 16 65185 Wiesbaden 0611 880 45 91 www.borse-coaching.de Zeitmanagement - Zeit für Ihren Erfolg! Laut einer Studie

Mehr

Welche Gedanken wir uns für die Erstellung einer Präsentation machen, sollen Ihnen die folgende Folien zeigen.

Welche Gedanken wir uns für die Erstellung einer Präsentation machen, sollen Ihnen die folgende Folien zeigen. Wir wollen mit Ihnen Ihren Auftritt gestalten Steil-Vorlage ist ein österreichisches Start-up mit mehr als zehn Jahren Erfahrung in IT und Kommunikation. Unser Ziel ist, dass jede einzelne Mitarbeiterin

Mehr

Transaktionsempfehlungen im ebase Online nutzen

Transaktionsempfehlungen im ebase Online nutzen Transaktionsempfehlungen im ebase Online nutzen Anleitung ebase Inhalt 1. Einführung und Voraussetzungen 2. Transaktionsempfehlung für einen Kunden erstellen 3. Möglichkeiten des Kunden 4. Verwaltung von

Mehr

Neue Arbeitswelten Bürokultur der Zukunft

Neue Arbeitswelten Bürokultur der Zukunft Neue Arbeitswelten Bürokultur der Zukunft S. Mayer 1 Neue Arbeitswelten Bürokultur der Zukunft Sigrid Mayer Bene AG AT-Wien 2 Neue Arbeitswelten Bürokultur der Zukunft S. Mayer 11. Internationales Branchenforum

Mehr

Lösungen mit Strategie

Lösungen mit Strategie Lösungen mit Strategie Imagebroschüre Daaden Zeichen setzen Wenn sich Unternehmenserfolg über»anfangen im Kleinen und Streben nachgroßem«definiert, dann blicken wir nicht nur auf eine lange Tradition,

Mehr

Wien = Menschlich. freigeist.photography

Wien = Menschlich. freigeist.photography Wien = Menschlich freigeist.photography Idee zu diesem Projekt Wovon lebt eine Stadt wie WIEN? Von seiner Geschichte, seiner Architektur, seinen Sehenswürdigkeiten und kulinarischen heimischen Köstlichkeiten.

Mehr

Ein neues Outlook Konto können Sie im Control Panel über den Eintrag Mail erstellen.

Ein neues Outlook Konto können Sie im Control Panel über den Eintrag Mail erstellen. Ein neues Outlook Konto können Sie im Control Panel über den Eintrag Mail erstellen. Wählen Sie nun Show Profiles und danach Add. Sie können einen beliebigen Namen für das neue Outlook Profil einsetzen.

Mehr

Worum geht es in diesem Projekt?

Worum geht es in diesem Projekt? Worum geht es in diesem Projekt? In dem Aktuellen SocialMedia Umfeld wird es immer schwieriger der Informationsflut und Schnittstellen Basis Herr zu werden und alle Schnittstellenprozesse im Überblick

Mehr

Der Kopf ist rund, damit das Denken die Richtung

Der Kopf ist rund, damit das Denken die Richtung Der Kopf ist rund, damit das Denken die Richtung Francis Picabia wechseln kann. Beste Perspektiven für Andersdenker. Erfolgreiche Unternehmen brauchen individuelle IT-Lösungen. Und dafür brauchen wir Sie.

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Erstellung eines Banderole-Ads Stand 06/10

Erstellung eines Banderole-Ads Stand 06/10 Erstellung eines Banderole-Ads Stand 06/10 Definition eines Banderole Ads Ein Banderole Ad Banner besteht aus: Flash Datei 770x250 Pixel (swf) Videodatei (flv) Optional - Das Banderole Ad schließt sich

Mehr

Produktvorstellung: CMS System / dynamische Webseiten. 1. Vorwort

Produktvorstellung: CMS System / dynamische Webseiten. 1. Vorwort ALEX IT-Service Ihr IT Servicepartner»ALEX-IT Service«16341 Panketal an unsere Kundschaft Ihr Zeichen, Ihre Nachricht Unser Zeichen Telefon, Name Datum CMS 13.01.2010 Produktvorstellung: CMS System / dynamische

Mehr

Erhalt und Weiterentwicklung beruflicher Kompetenzen der Lehrerinnen und Lehrer

Erhalt und Weiterentwicklung beruflicher Kompetenzen der Lehrerinnen und Lehrer Markieren Sie so: Korrektur: Bitte verwenden Sie einen Kugelschreiber oder nicht zu starken Filzstift. Dieser Fragebogen wird maschinell erfasst. Bitte beachten Sie im Interesse einer optimalen Datenerfassung

Mehr

Informatik Kurs Simulation. Hilfe für den Consideo Modeler

Informatik Kurs Simulation. Hilfe für den Consideo Modeler Hilfe für den Consideo Modeler Consideo stellt Schulen den Modeler kostenlos zur Verfügung. Wenden Sie sich an: http://consideo-modeler.de/ Der Modeler ist ein Werkzeug, das nicht für schulische Zwecke

Mehr

Mobile Intranet in Unternehmen

Mobile Intranet in Unternehmen Mobile Intranet in Unternehmen Ergebnisse einer Umfrage unter Intranet Verantwortlichen aexea GmbH - communication. content. consulting Augustenstraße 15 70178 Stuttgart Tel: 0711 87035490 Mobile Intranet

Mehr

Wie gestaltet man Online-Umfragen mit SurveyMonkey?

Wie gestaltet man Online-Umfragen mit SurveyMonkey? Wie gestaltet man Online-Umfragen mit SurveyMonkey? 1. Auf www.surveymonkey.com gehen. Zu allererst muss man sich registrieren. Auf der linken Seite auf Join now for free klicken. 2. Maske ausfüllen und

Mehr

Sichere E-Mail Anleitung Zertifikate / Schlüssel für Kunden der Sparkasse Germersheim-Kandel. Sichere E-Mail. der

Sichere E-Mail Anleitung Zertifikate / Schlüssel für Kunden der Sparkasse Germersheim-Kandel. Sichere E-Mail. der Sichere E-Mail der Nutzung von Zertifikaten / Schlüsseln zur sicheren Kommunikation per E-Mail mit der Sparkasse Germersheim-Kandel Inhalt: 1. Voraussetzungen... 2 2. Registrierungsprozess... 2 3. Empfang

Mehr

Seminar für Führungskräfte

Seminar für Führungskräfte Seminar für Führungskräfte Ich habe es mir zur Aufgabe gemacht, Menschen in ihrem persönlichen Wachstum zu fördern und ihre Potenziale an s Licht zu bringen. Diese für die persönlichen und jeweiligen Unternehmensziele

Mehr

Namibiakids e.v./ Schule, Rehoboth, Namibia

Namibiakids e.v./ Schule, Rehoboth, Namibia Namibiakids e.v./ Schule, FK 13 Rehabilitationswissenschaften - Master Sonderpädagogik 17.01.2015 27.03.2015 Schule in Rehoboth Über den Verein Namibiakids e.v. wurde uns ein Praktikum in einer Schule

Mehr

Aussage: Das Seminar ist hilfreich für meine berufliche Entwicklung

Aussage: Das Seminar ist hilfreich für meine berufliche Entwicklung Nachhaltigkeitsüberprüfung der Breuel & Partner Gruppendynamikseminare In der Zeit von Januar bis Februar 2009 führten wir im Rahmen einer wissenschaftlichen Arbeit eine Evaluation unserer Gruppendynamikseminare

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Krankenkassenwahl: Nicht nur eine Frage des Beitragssatzes

Krankenkassenwahl: Nicht nur eine Frage des Beitragssatzes Gesundheit KOMPAKT Krankenkassenwahl: Nicht nur eine Frage des Beitragssatzes Das sollten Sie wissen Bei der Wahl der richtigen Krankenkasse kommt es nicht nur auf den Beitragssatz an. Da die Gewährung

Mehr

Entwicklung mit Arbortext Editor 6.1

Entwicklung mit Arbortext Editor 6.1 Entwicklung mit Arbortext Editor 6.1 Überblick Kurscode Kurslänge TRN-4410-T 3 Tag In diesem Kurs lernen Sie die grundlegenden und erweiterten Bearbeitungsfunktionen von Arbortext Editor kennen. Der Schwerpunkt

Mehr

ACDSee 10. ACDSee 10: Fotos gruppieren und schneller durchsuchen. Was ist Gruppieren? Fotos gruppieren. Das Inhaltsverzeichnis zum Gruppieren nutzen

ACDSee 10. ACDSee 10: Fotos gruppieren und schneller durchsuchen. Was ist Gruppieren? Fotos gruppieren. Das Inhaltsverzeichnis zum Gruppieren nutzen In diesem Tutorial erfahren Sie, wie man Fotos gruppiert. Mit der Option "Gruppieren nach" werden die Fotos in der Dateiliste nach Gruppen geordnet. Wenn Sie beispielsweise auf "Bewertung" klicken, werden

Mehr

Arbeitgeber vorgestellt: SuperOffice GmbH

Arbeitgeber vorgestellt: SuperOffice GmbH Arbeitgeber vorgestellt: SuperOffice GmbH Name: Manfred Kaftan Funktion/Bereich: Geschäftsführer Organisation: SuperOffice GmbH Liebe Absolventen/-innen und Nachwuchskräfte, im Rahmen der Competence Site

Mehr

Was macht Layer2 eigentlich? Erfahren Sie hier ein wenig mehr über uns.

Was macht Layer2 eigentlich? Erfahren Sie hier ein wenig mehr über uns. Was macht Layer2 eigentlich? Erfahren Sie hier ein wenig mehr über uns. Seit über 24 Jahren... unterstützen und beraten wir unsere Kunden und Partner erfolgreich bei ihren IT-Projekten. Unsere Kernkompetenz

Mehr

1. EINLEITUNG 2. GLOBALE GRUPPEN. 2.1. Globale Gruppen anlegen

1. EINLEITUNG 2. GLOBALE GRUPPEN. 2.1. Globale Gruppen anlegen GLOBALE GRUPPEN 1. EINLEITUNG Globale Gruppen sind system- oder kategorieweite Gruppen von Nutzern in einem Moodlesystem. Wenn jede Klasse einer Schule in eine globale Gruppe aufgenommen wird, dann kann

Mehr

Komplexe Gesetzgebung erfordert kompetente Fachkenntnisse.

Komplexe Gesetzgebung erfordert kompetente Fachkenntnisse. IHR GUTES RECHT. Komplexe Gesetzgebung erfordert kompetente Fachkenntnisse. RECHT HABEN. RECHT BEKOMMEN. Unsere Gesetze werden immer komplexer und undurchschaubarer. Es gibt ständig Änderungen, Zusätze

Mehr

Handbuch ECDL 2003 Modul 2: Computermanagement und Dateiverwaltung Der Task-Manager

Handbuch ECDL 2003 Modul 2: Computermanagement und Dateiverwaltung Der Task-Manager Handbuch ECDL 2003 Modul 2: Computermanagement und Dateiverwaltung Der Task-Manager Dateiname: ecdl2_03_05_documentation Speicherdatum: 22.11.2004 ECDL 2003 Modul 2 Computermanagement und Dateiverwaltung

Mehr

Wie ist das Wissen von Jugendlichen über Verhütungsmethoden?

Wie ist das Wissen von Jugendlichen über Verhütungsmethoden? Forschungsfragen zu Verhütung 1 Forschungsfragen zu Verhütung Wie ist das Wissen von Jugendlichen über Verhütungsmethoden? Wie viel Information über Verhütung ist enthalten? Wie wird das Thema erklärt?

Mehr

SCHRITT 1: Öffnen des Bildes und Auswahl der Option»Drucken«im Menü»Datei«...2. SCHRITT 2: Angeben des Papierformat im Dialog»Drucklayout«...

SCHRITT 1: Öffnen des Bildes und Auswahl der Option»Drucken«im Menü»Datei«...2. SCHRITT 2: Angeben des Papierformat im Dialog»Drucklayout«... Drucken - Druckformat Frage Wie passt man Bilder beim Drucken an bestimmte Papierformate an? Antwort Das Drucken von Bildern ist mit der Druckfunktion von Capture NX sehr einfach. Hier erklären wir, wie

Mehr

Word 2010 Schnellbausteine

Word 2010 Schnellbausteine WO.001, Version 1.0 02.04.2013 Kurzanleitung Word 2010 Schnellbausteine Word 2010 enthält eine umfangreiche Sammlung vordefinierter Bausteine, die sogenannten "Schnellbausteine". Neben den aus den früheren

Mehr

MOC 10215A: Microsoft Server-Virtualisierung: Implementierung und Verwaltung

MOC 10215A: Microsoft Server-Virtualisierung: Implementierung und Verwaltung MOC 10215A: Microsoft Server-Virtualisierung: Implementierung und Verwaltung Kompakt-Intensiv-Training Das Training "MOC 10215A: Microsoft Server-Virtualisierung: Implementierung und Verwaltung" wurde

Mehr

Zählen von Objekten einer bestimmten Klasse

Zählen von Objekten einer bestimmten Klasse Zählen von Objekten einer bestimmten Klasse Ziel, Inhalt Zur Übung versuchen wir eine Klasse zu schreiben, mit der es möglich ist Objekte einer bestimmten Klasse zu zählen. Wir werden den ++ und den --

Mehr

Azubi Plus. projekt zukunft. Gestalten Sie Ihre Ausbildungen attraktiver, interessanter und wirkungsvoller mit...

Azubi Plus. projekt zukunft. Gestalten Sie Ihre Ausbildungen attraktiver, interessanter und wirkungsvoller mit... Gestalten Sie Ihre Ausbildungen attraktiver, interessanter und wirkungsvoller mit... Das unglaubliche Zusatz-Training zur Ausbildung: Sie werden Ihre Azubis nicht wieder erkennen! PERSONALENTWICKLUNG Personalentwicklung

Mehr

Win-Digipet V 9.2 Premium Edition Wie bastele ich mir steuerbare Kontakte. Wie bastele ich mir steuerbare Kontakte? -Quick-And-Dirty-Lösung-

Win-Digipet V 9.2 Premium Edition Wie bastele ich mir steuerbare Kontakte. Wie bastele ich mir steuerbare Kontakte? -Quick-And-Dirty-Lösung- ? -Quick-And-Dirty-Lösung- Vorwort Nach Anfragen aus dem Win-Digipet-Forum möchte ich folgende Quick-And-Dirty-Lösung vorstellen mit der man sich mal eben virtuelle Kontakte erstellen kann. Vorweg muß

Mehr

WebCT-Kurse müssen nicht immer gleich aussehen. Design und Integration grafischer Elemente in WebCT-Kurse

WebCT-Kurse müssen nicht immer gleich aussehen. Design und Integration grafischer Elemente in WebCT-Kurse WebCT-Kurse müssen nicht immer gleich aussehen Design und Integration grafischer Elemente in WebCT-Kurse Grundsätzliches zu diesem Handout Die folgenden Seiten waren Begleitmaterial zu einem Workshop,

Mehr

Das konzernweite internationale E.ON Graduate Program

Das konzernweite internationale E.ON Graduate Program Das konzernweite internationale E.ON Graduate Program Erforschen Sie die ganze Welt von E.ON. Warum ein vielseitiges Unternehmen nur von einer Perspektive aus kennenlernen? E.ON bietet mit dem Graduate

Mehr

Dokumentenverwaltung im Internet

Dokumentenverwaltung im Internet Dokumentenverwaltung im Internet WS 09/10 mit: Thema: Workflow und Rollenverteilung im Backend Gruppe: DVI 10 Patrick Plaum und Kay Hofmann Inhalt 1. Benutzer und Benutzergruppen erstellen...2 1.1. Benutzergruppen...2

Mehr

Auswertung zur. Hauptklausur Unternehmensbesteuerung. vom 24.02.10. und Ergebnisse der Kundenbefragung

Auswertung zur. Hauptklausur Unternehmensbesteuerung. vom 24.02.10. und Ergebnisse der Kundenbefragung Auswertung zur Hauptklausur Unternehmensbesteuerung vom 24.02.10 Vergleich: Skriptteufel-Absolventen vs. alle Teilnehmer und Ergebnisse der Kundenbefragung In diesem Dokument vergleichen wir die Klausurergebnisse

Mehr

Arbeiten mit UMLed und Delphi

Arbeiten mit UMLed und Delphi Arbeiten mit UMLed und Delphi Diese Anleitung soll zeigen, wie man Klassen mit dem UML ( Unified Modeling Language ) Editor UMLed erstellt, in Delphi exportiert und dort so einbindet, dass diese (bis auf

Mehr

Objektorientierung: Klassen und Objekte

Objektorientierung: Klassen und Objekte Vorlesung und Übung Universität Paderborn Wintersemester 2015/2016 Dr. Peter Pfahler Objektorientierung: Klassen und Objekte EWS, WS 2015/16, Pfahler L-1 Objektorientierung behandelt in "Einführung in

Mehr

Sanitär. Heizung. Flaschnerei.

Sanitär. Heizung. Flaschnerei. Sanitär. Heizung. Flaschnerei. Nicht mehr aber auch kein bisschen weniger. www.scheffel-haustechnik.de Zuverlässigkeit und Qualität. Für Ihre Projekte. Qualitäts- Materialien zu fairen Preisen. Rund um

Mehr

Schritt 1. Anmelden. Klicken Sie auf die Schaltfläche Anmelden

Schritt 1. Anmelden. Klicken Sie auf die Schaltfläche Anmelden Schritt 1 Anmelden Klicken Sie auf die Schaltfläche Anmelden Schritt 1 Anmelden Tippen Sie Ihren Benutzernamen und Ihr Passwort ein Tipp: Nutzen Sie die Hilfe Passwort vergessen? wenn Sie sich nicht mehr

Mehr

Webcontrolling Umsetzung in die Praxis. Toll, und wie ist es wirklich?

Webcontrolling Umsetzung in die Praxis. Toll, und wie ist es wirklich? Webcontrolling Umsetzung in die Praxis Toll, und wie ist es wirklich? Über uns Die Scandio GmbH ist ein Software und IT-Consulting Unternehmen. Wir entwickeln individuelle Applikationen und beraten unsere

Mehr

D.E.O. Die Erwachsene Organisation. Lösungen für eine synergetische Arbeitswelt

D.E.O. Die Erwachsene Organisation. Lösungen für eine synergetische Arbeitswelt Missionar: Expansion als Vorgabe Dieser Typ will wachsen: ein zusätzliches Verkaufsgebiet, eine weitere Zielgruppe. Nur keine Einschränkungen! Legen Sie ihm die Welt zu Füßen. Stagnation würde ihn wegtreiben.

Mehr

Palme & Eckert Web-Marketing

Palme & Eckert Web-Marketing Palme & Eckert Web-Marketing Google AdWords, Facebook & Co. Viele Website-Besucher zu haben ist gut - qualifizierte Besucher zu haben, die Kunden werden können, deutlich besser. Neben den Suchmaschinen

Mehr

Wie Sie mit Mastern arbeiten

Wie Sie mit Mastern arbeiten Wie Sie mit Mastern arbeiten Was ist ein Master? Einer der großen Vorteile von EDV besteht darin, dass Ihnen der Rechner Arbeit abnimmt. Diesen Vorteil sollten sie nutzen, wo immer es geht. In PowerPoint

Mehr

Äh was, sorry? Unsere Business-Sprachkurse helfen Ihnen weiter.

Äh was, sorry? Unsere Business-Sprachkurse helfen Ihnen weiter. Äh was, sorry? Unsere Business-Sprachkurse helfen Ihnen weiter. Eine klare Sprache ist gut fürs Geschäft. Zielorientiert lernen UND Spaß haben. Jede neue Sprache ist wie ein offenes Fenster, das einen

Mehr

Wie kann Ihr Unternehmen von Leadership Branding profitieren?

Wie kann Ihr Unternehmen von Leadership Branding profitieren? Wie kann Ihr Unternehmen von Leadership Branding profitieren? Durch Leadership Branding stärken sich Marke und Führung gegenseitig. Das kann viele Vorteile haben und mehrfachen Nutzen stiften. Welches

Mehr

MARKUS WASER THE POWER IS IN YOU FACT SHEET IHR WEG ZUM PERSÖNLICHEN ERFOLG

MARKUS WASER THE POWER IS IN YOU FACT SHEET IHR WEG ZUM PERSÖNLICHEN ERFOLG FACT SHEET IHR WEG ZUM PERSÖNLICHEN ERFOLG IHR WEG ZUM PERSÖNLICHEN ERFOLG WAR IHNEN DAS BEWUSST? Sie ganz alleine entscheiden auf welcher Seite Sie im Leben stehen. Sie sind der wahre und einzige Regisseur

Mehr

Von Windows-Forms zu WPF mit Expression Blend? Thomas Müller conplement AG Nürnberg

Von Windows-Forms zu WPF mit Expression Blend? Thomas Müller conplement AG Nürnberg Von Windows-Forms zu WPF mit Expression Blend? Thomas Müller.NET Consultant / Trainer.NET Client-Server Visual Studio / Expression Blend Thomas Müller Leiter der dodned UG-Franken Sprecher auf Konferenzen

Mehr

Widerstandsfähig und authentisch Innovative Holz-Innentür Oberfläche Durat von Huga

Widerstandsfähig und authentisch Innovative Holz-Innentür Oberfläche Durat von Huga Widerstandsfähig und authentisch Innovative Holz-Innentür Oberfläche Durat von Huga Gütersloh, September 2015. Das Huga Holz-Innentüren Programm wurde um zwei neue, innovative Oberflächen erweitert. Zu

Mehr

Microsoft Excel - Für Analysten

Microsoft Excel - Für Analysten Microsoft Excel - Für Analysten Kompakt-Intensiv-Training In unserem dreitägigen Kurs "Microsoft Excel - Für Analysten" erlangen Sie umfassende Kenntnisse in der Erstellung von professionellen Datenanalysen

Mehr

Auftrag zum Fondswechsel

Auftrag zum Fondswechsel Lebensversicherung von 1871 a.g. München Postfach 80326 München Auftrag zum Fondswechsel Versicherungsnummer Versicherungsnehmer Änderung zum: Bei fehlender Eintragung, findet die Änderung für den Switch

Mehr

Control-M Workload Change Management 8

Control-M Workload Change Management 8 2014 Control-M Workload Change Management 8 Holger Schidlowski hschidlowski@atics.de 1 Einführung in Control-M Workload Change Manager (laut deutschem Benutzerhandbuch) Control-M Workload Change Manager

Mehr

Business Coaching für einzelne Mitarbeiter

Business Coaching für einzelne Mitarbeiter Zukunftskompetenz für Organisationen Business Coaching für Mitarbeiter, Führungskräfte und (Projekt-)Teams: Business Coaching für einzelne Mitarbeiter Lernen Sie hier Haltung und Vorgangweisen im professionellen

Mehr

Shopz Zugang Neuanmeldung

Shopz Zugang Neuanmeldung Web Sales, IBM Deutschland Shopz Zugang Neuanmeldung IBM ID einrichten Shopz-Zugang anmelden Shopz Login User ID Management IBM ID und Shopz Zugang im Überblick Überblick ibm.com/software/de/websolutions/

Mehr

Familie Wiegel. Solarstrom vom eigenen Dach. In Kooperation mit: www.stadtwerke-erfurt.de/solar

Familie Wiegel. Solarstrom vom eigenen Dach. In Kooperation mit: www.stadtwerke-erfurt.de/solar Familie Wiegel Solarstrom vom eigenen Dach. In Kooperation mit: www.stadtwerke-erfurt.de/solar Werden Sie Ihr eigener Stromerzeuger. Die SWE Energie GmbH versorgt Kunden zuverlässig und zu fairen Preisen

Mehr

FH-SY Chapter 2.4 - Version 3 - FH-SY.NET - FAQ -

FH-SY Chapter 2.4 - Version 3 - FH-SY.NET - FAQ - FH-SY Chapter 2.4 - Version 3 - FH-SY.NET - FAQ - Version vom 02.02.2010 Inhaltsverzeichnis 1. KANN ICH BEI EINER EIGENEN LEKTION NACHTRÄGLICH NOCH NEUE LERNINHALTE ( WAS WURDE BEHANDELT? ) EINFÜGEN?...

Mehr

FRAGEBOGEN ANWENDUNG DES ECOPROWINE SELBSTBEWERTUNG-TOOLS

FRAGEBOGEN ANWENDUNG DES ECOPROWINE SELBSTBEWERTUNG-TOOLS Dieser Fragebogen bildet eine wichtige Rückmeldung der Pilotweingüter über Verständnis, Akzeptanz und Effektivität des ECOPROWINE Selbstbewertung-tools für alle daran Beteiligten. Dieser Fragebogen besteht

Mehr

Konflikte sind immer persönlich

Konflikte sind immer persönlich Konflikte sind immer persönlich Wie Charaktere unsere Konflikte initiieren und steuern PRO9 Personal bietet Ihnen eine wissenschaftlich fundierte Fortbildung im charakterorientierten Konfliktmanagement.

Mehr

Schulung Marketing Engine Thema : Einrichtung der App

Schulung Marketing Engine Thema : Einrichtung der App Schulung Marketing Engine Thema : Einrichtung der App Videoanleitung : http://www.edge-cdn.net/video_885168?playerskin=48100 Marketing Engine Tool : App Paket : Basis / Premium Version 2.0-03.11.2015 1

Mehr

davero akademie 2013 53 5. PC und Multimedia

davero akademie 2013 53 5. PC und Multimedia davero akademie 2013 53 5. PC und Multimedia 10 Finger sind schneller als 2 In wenigen Schritten zum 10-Finger-System Sie suchen oft die richtigen Buchstaben auf Ihrer Tastatur und vergeuden dadurch wertvolle

Mehr

How- to. E- Mail- Marketing How- to. Subdomain anlegen. Ihr Kontakt zur Inxmail Academy

How- to. E- Mail- Marketing How- to. Subdomain anlegen. Ihr Kontakt zur Inxmail Academy E- Mail- Marketing How- to How- to Subdomain anlegen Getrackte Links in Ihren E- Mails haben keinen Bezug zu Ihrer Domain und werden deswegen häufig von Ihren Empfängern als nicht vertrauenswürdig eingestuft.

Mehr

Hinweise zur Nutzung des E-Learning Systems Blackboard (Teil 4): Teil I: Informationen über andere Beteiligte des Kurses

Hinweise zur Nutzung des E-Learning Systems Blackboard (Teil 4): Teil I: Informationen über andere Beteiligte des Kurses Hinweise zur Nutzung des E-Learning Systems Blackboard (Teil 4) 1 Hinweise zur Nutzung des E-Learning Systems Blackboard (Teil 4): Personal Homepage/Personal Information 1 Blackboard bietet verschiedene

Mehr

I.O. BUSINESS. Checkliste Effektive Vorbereitung aktiver Telefonate

I.O. BUSINESS. Checkliste Effektive Vorbereitung aktiver Telefonate I.O. BUSINESS Checkliste Effektive Vorbereitung aktiver Telefonate Gemeinsam Handeln I.O. BUSINESS Checkliste Effektive Vorbereitung aktiver Telefonate Telefonieren ermöglicht die direkte Kommunikation

Mehr

Der -Online- Ausbilderkurs

Der -Online- Ausbilderkurs Der -Online- Ausbilderkurs Machen Sie Ihren Ausbilderschein mit 70% weniger Zeitaufwand Flexibel & mit 70% Zeitersparnis zu Ihrem Ausbilderschein Mit Videos auf Ihre Ausbilderprüfung (IHK) vorbereiten

Mehr

Microsoft Windows PowerShell v3.0/4.0

Microsoft Windows PowerShell v3.0/4.0 Microsoft Windows PowerShell v3.0/4.0 Dauer: 4 Tage Kursnummer: GKPS Überblick: Die PowerShell ist bereits ein integraler Bestandteil der aktuellen Windows Systeme und wird von verschieden Servern wie

Mehr

7. Bewässerung: Mehrmals pro Woche

7. Bewässerung: Mehrmals pro Woche 7. Bewässerung: Mehrmals pro Woche Eine Kultur im Erdboden muss mehrmals wöchentlich bewässert werden. 1. Erstellen Sie ein Arbeitsblatt 2. Pumpe 3. Ventilgruppe 1 4. Kulturfachregelung 5. Wasser-Anschlüsse

Mehr

1) Farbsteuergerät in der Nikobus-Software unter Modul zufügen hinzufügen.

1) Farbsteuergerät in der Nikobus-Software unter Modul zufügen hinzufügen. Programmierung des Farbsteuergeräts 340-00112 für Nikobus Diese Bedienungsanleitung gilt auch für die Nikobus-Produkte 340-00111 und 340-00113. Achtung: einige der aufgeführten Betriebsarten sind nur auf

Mehr

Installationshinweise für OpenOffice Portable auf einem Wechseldatenträger Stand: 27. März 2003 LS Stuttgart, Kaufmännische ZPG

Installationshinweise für OpenOffice Portable auf einem Wechseldatenträger Stand: 27. März 2003 LS Stuttgart, Kaufmännische ZPG Gliederung 1. Voraussetzungen... 2 2. Download... 2 3. Installation der Version ohne Python... 3 4. Erster Programmstart... 4 Bitte der Autoren Es gibt keine perfekten Installationshinweise, die allen

Mehr

Gesundheits-Coaching I Akut-Programme bei Erschöpfung I Gesunder Schlaf I Ernährungs-Umstellung I Mentale Stärke I Gutes Körpergefühl

Gesundheits-Coaching I Akut-Programme bei Erschöpfung I Gesunder Schlaf I Ernährungs-Umstellung I Mentale Stärke I Gutes Körpergefühl Gesundheits-Coaching I Akut-Programme bei Erschöpfung I Gesunder Schlaf I Ernährungs-Umstellung I Mentale Stärke I Gutes Körpergefühl Bogengold GmbH Gesundheitszentrum Lättenstrasse 6a, CH-8185 Winkel

Mehr