Versuch 3: Zustandsautomat - Periodenmessung

Größe: px
Ab Seite anzeigen:

Download "Versuch 3: Zustandsautomat - Periodenmessung"

Transkript

1 Hochschule Bochum FB E Elektrotechnik u. Informatik Praktikum EDI - Digitaltechnik Betreuung: P. Hunstig AE-Labor Automobilelektronik Raum C6-09 Versuch 3: Zustandsautomat - Periodenmessung Hinweis: Bitte bereiten Sie sich für diesen Versuch ausreichend vor und bearbeiten Sie die Vorübungen. Andernfalls ist der Versuch nicht in der vorgesehenen Zeit zu bewältigen. Bringen Sie bitte außerdem die Unterlagen von Versuch 1 mit. Kurzbeschreibung des Versuchs In Versuch 2 haben Sie ein erstes synchron getaktetes Schaltwerk aufgebaut. In diesem Versuch werden Sie einen sogenannten Zustandsautomaten realisieren. Dieser hat im Prinzip ein ähnliches Schaltwerk, wird allerdings von externen Eingangssignalen beinflusst, wodurch sich in den Zustandsübergängen Verzweigungen ergeben. Die Automatenlösung erscheint bei unserer einfachen Aufgabenstellung ein bisschen überdimensioniert, ist aber auch bei kleineren Problemen durchaus angebracht und stellt insbesondere bei komplexen Abläufen ein sehr praktikables, weil sehr strukturiertes Lösungsverfahren dar. Die Schaltung wird wieder mit dem Entwicklungsprogramm Quartus generiert und anschließend in einen FPGA-Baustein übertragen. Aufgabenstellung Die zu entwerfende Schaltung (siehe Bild 1) soll mit Hilfe eines Zählers und eines Zustandsautomaten die Periodendauer eines Eingangssignals (PowerLine) messen. Als Eingangssignal stellen wir uns die auf TTL-Pegel (0-5V) reduzierte Netzspannung mit ca. 50 Hz vor. Der Zähler soll mit Hilfe eines 1 khz-taktes fortlaufend die Periodendauer des PowerLine- Signals in Millisekunden (ms) messen. Die Messung der Periodendauer soll jeweils mit der steigenden Flanke des PowerLine-Signals beginnen. Der Zähler zählt die Millisekunden bis zur nächsten steigenden PowerLine-Flanke. Damit ist die jeweilige Messung beendet und der Zählerstand wird in einem Speichermodul (8-fach D-Flipflops lpm_ff ) abgespeichert. Gleichzeitig beginnt sofort die nächste Messung. Der Inhalt des Speichermoduls enthält den Wert der letzten Periodenmessung in ms und soll auf einer 2-stelligen Hexadezimalanzeige dargestellt werden (nicht in Bild 1 enthalten). Zum Anschluss der Anzeige werden Sie auf Ihren 7-Segment-Decoder aus Versuch 1 zurückgreifen und diesen in 2-facher Ausführung an den Ausgang des Speichermoduls anschließen. Die Aufgabe des Automaten besteht darin, den Zähler und den Speicher in der richtigen Zeitabfolge mit entsprechenden Signalen zu steuern. Die Periodenmessung wird dazu in mehrere Zeitabschnitte eingeteilt, denen entsprechende Automatenzustände zugeordnet werden. Die Automatenzustände unterscheiden sich (in der Regel) durch die unterschiedlichen Aktionen, die in dem jeweiligen Zeitabschnitt ausgeführt werden (z.b. Zähler rücksetzen; weiterzählen; Messwert speichern). Zur Realisierung der richtigen Automatensteuerung müssen Sie sich die notwendigen Aktionen und Zeitabfolgen klarmachen und daraus ein Zustandsübergangsdiagramm erstellen. Daraus lässt sich dann, wie beim Frequenzteiler in Versuch 2, die Wahrheitstabelle für die Zustandsübergänge ableiten und daraus die konkrete Automatenschaltung mit Flipflops und Gatterlogik aufbauen. Das Aufstellen der Wahrheitstabelle und die Ermittlung der Übergangsfunktionen für die Flipflop-Eingänge bleibt Ihnen in Versuch 3 allerdings erspart, da Ihnen ein Praktikum Digitaltechnik - Dipl.-Ing. P. Hunstig - DI-V3_Aufg_SS15 - SS2015 S. 1 / 10

2 Hochsprachencompiler einen wesentlichen Teil der Arbeit abnimmt, indem Sie den Automaten in der Hardwarebeschreibungssprache AHDL programmieren (ähnlich wie VHDL, aber einfacher). Ziel dieses Versuchs Im Versuch sollen die einzelnen Entwurfsschritte zur Realisierung einer strukturierten Ablaufsteuerung mit Hilfe eines Zustandsautomaten am Beispiel einer Periodenmessung geübt werden: Entwicklung eines Zustandsautomaten Bestimmung der notwendigen Zustände und Aktionen Entwurf des Zustandsübergangsdiagramms Realisierung des Automaten mit AHDL Testen der Schaltung mit Hilfe der Simulation Übertragen der Schaltung in einen FPGA Schaltungsübersicht Die Periodendauer eines Eingangssignals soll mit Hilfe eines Zustandsautomaten gemessen und auf einer 7-Segment-Anzeige hexadezimal ausgegeben werden. Das Blockschaltbild mit den wesentlichen Schaltungsmodulen ist in Bild 1 wiedergegeben. Einige Elemente, die für den Automatenentwurf nicht relevant sind, wohl aber für die Gesamtfunktion, werden später noch hinzugefügt (7-Segment-Decoder für die Ausgabe, Frequenzteiler für den passenden Systemtakt, Flipflops zur Taktsynchronisierung der Eingangssignale). Bild 1: Blockschaltbild (größeres Bild siehe Anhang) Eingangssignale Systemtakt ms_clk : Der zentrale Systemtakt synchronisiert die Zeitabläufe innerhalb der Schaltung mit einer Frequenz von 1 khz (entspr. einer Periodendauer von 1 ms). Alle an den Takt angeschlossenen Module reagieren auf die positive Taktflanke. Externes Eingangssignal PowerLine : Das zu messende Eingangssignal wird als Rechtecksignal mit TTL-Pegel (0V/5V) aufgeschaltet. Das Signal kann man sich aus der Netzspannung hergeleitet denken (daher PowerLine) und hat typischerweise eine Frequenz von ca. 50 Hz. Im Versuch wird das Signal aus einem externen Funktionsgenerator gewonnen und kann frequenzmäßig variiert werden. Praktikum Digitaltechnik - Dipl.-Ing. P. Hunstig - DI-V3_Aufg_SS15 - SS2015 S. 2 / 10

3 Externe Steuereingänge start, stop : Mit der Start-Taste soll die Messung gestartet werden. Mit der Stop-Taste wird die Messung abgebrochen und der aktuelle Messwert auf Dauer festgehalten. Die verwendeten Tasten (pushbuttons) auf unserem Entwicklungsboard sind low-aktiv, d.h., sie erzeugen bei Tastendruck Low-Pegel, in Ruhestellung High-Pegel. Externe Ausgangssignale Messwert Periode : Der Messwert (Periode) wird als 8-Bit-Wort ausgegeben und später auf die externe 7- Segment-Anzeige geschaltet. Das 8-Bit-Wort soll die Länge der zuletzt gemessenen Signalperiode (PowerLine) hexadezimal in Millisekunden darstellen. Zählerausgang cnt_out : Der Zählerausgang wird nur zur Kontrolle während der Schaltungssimulation als Schaltungsausgang benötigt. Statussignal running : Das running-signal wird auf eine LED geschaltet und zeigt an, ob die Messung läuft oder nicht. Im Ruhezustand soll die LED aus sein. Schaltungsmodule Zähler lpm_counter : Der Zähler wird vom 1-kHz-Systemtakt getaktet und soll die Millisekunden einer Periode zählen. Als 8-Bit-Zähler kann er von 0 bis 255 zählen. Gesteuert wird er vom Automaten über zwei zusätzliche Eingänge: Mit High-Pegel an sclr (synchron clear) wird der Zähler mit der nächsten positiven Taktflanke zurückgesetzt. cnt_en (count enable) muss auf High gesetzt werden, damit der Zähler mit positiver Taktflanke weiterzählt. Bei Low-Pegel an cnt_en hält der Zähler an. Dieses Signal wird insbesondere in der Startphase wichtig. Wenn beide Steuereingänge sclr und cnt_en gleichzeitig high sind, hat das Clear- Signal Vorrang, der Zähler wird dann mit positiver Taktflanke zurückgesetzt. Messwertspeicher lpm_ff : Der Speicher besteht aus 8 D-Flipflops, die bei positivem enable-signal mit der nächsten Taktflanke die anliegenden Eingangssignale abspeichern. Der Automat muss das Steuersignal enable am Ende jeder Periodenmessung im richtigen Augenblick aktivieren, damit das Speichermodul den letzten Zählerstand übernimmt. Der 8-Bit- Wert wird später, aufgeteilt in zwei Nibble (je 4 Bit), von zwei 7-Segment-Displays als Hexadezimalwert angezeigt. Zustandsautomat PM_Automat : Der Automat steuert über seine Ausgänge die Zeitabläufe der Periodenmessung. Ihre Aufgabe wird es sein, einen passenden Automaten zu entwerfen, der den richtigen Zeitverlauf gewährleistet. Dazu muss der Automat im rechten Augenblick die richtigen Steuersignale aktivieren, um die notwendigen Aktionen auszulösen. Die detaillierte Aufgabenstellung mit entsprechender Anleitung folgt später. Praktikum Digitaltechnik - Dipl.-Ing. P. Hunstig - DI-V3_Aufg_SS15 - SS2015 S. 3 / 10

4 Schaltungsstruktur des Zustandsautomaten Der Zustandsautomat stellt eine taktgesteuerte, sequenzielle Schaltung dar, wie Sie sie in Versuch 2 beim Frequenzteiler kennengelernt haben. In Bild 2 sehen Sie die typische Hardwarestruktur eines sogenannten Medwedjev-Automaten, den Sie im Praktikum realisieren werden. Er besteht im Wesentlichen aus Registern (Flipflops) und einem Schaltnetz. Hinweis: In Bild 2 gehören nur die rechten Register zum eigentlichen Automaten. Die Register links in den Eingangsleitungen dienen zur Taksynchronisierung der Eingangssignale und zählen nicht zum eigentlichen Kern der Automatenschaltung. Das Bitmuster der (rechten) Registerausgänge stellt den momentanen Automatenzustand dar, der immer für wenigstens eine Taktperiode andauert. Die Registerausgänge werden zudem als externe Ausgänge des Automaten verwendet. In unserer Praktikumsaufgabe sind das die Signale cnt_clr, cnt_ena, per_wr, running. Die Anzahl der möglichen Automatenzustände ist von der Anzahl der Register abhängig. Mit n Registern können 2 n verschiedene Zustände dargestellt werden. Beim Automatenentwurf ist eine der ersten Überlegungen, wie viele unterschiedliche Zustände die zu realisierende Ablaufsteuerung benötigt. Aus der Anzahl der Zustände ergibt sich dann die Anzahl der mindestens benötigten Register. Zustandswechsel werden immer mit der positiven Taktflanke eingeleitet. Dabei entscheiden die Registereingänge (der rechten Register), welchen Zustand der Automat nach der jeweils folgenden Taktflanke einnimmt. Die Signalzustände an den Registereingängen werden im Schaltnetz erzeugt und sind abhängig von - den zurückgekoppelten Registerausgangssignalen ( = aktueller Zustand), - den externen Eingangssignalen (links) und - den Verknüpfungen im Schaltnetz. Der Entwurf des richtigen Schaltnetzes, bestehend aus kombinatorischer Logik, ist eine der Hauptaufgaben beim Automatendesign. In Versuch 2 haben Sie dieses am Beispiel des Frequenzteilers realisiert. Die dort entwickelte Ablaufsteuerung durchlief 6 Zustände und kann als Automat ohne externe Steuereingänge betrachtet werden. In diesem Praktikumsversuch wird Ihnen die Entwicklung des Schaltnetzes durch die Verwendung der Hardwareprogrammiersprache AHDL wesentlich erleichtert. Bild 2: Schaltungsstruktur eines Medwedjev-Zustandsautomaten Praktikum Digitaltechnik - Dipl.-Ing. P. Hunstig - DI-V3_Aufg_SS15 - SS2015 S. 4 / 10

5 Konkrete Anforderungen Die Periodendauer des Eingangssignals PowerLine soll mit Hilfe eines Zustandsautomaten kontinuierlich gemessen und auf einer 7-Segment-Anzeige hexadezimal ausgegeben werden. Der Systemtakt beträgt 1 khz. Die Periodendauer soll auf 1 ms genau erfasst werden. Gemessen wird jeweils zwischen 2 positiven Signalflanken von PowerLine. Der Messwert soll mit 8 Bit erfasst und hexadezimal auf einem 2-stelligen 7-Segment- Display angezeigt werden. Nach dem Einschalten befindet sich der Automat im Ruhezustand Idle. Mit der Start-Taste wird die Messung gestartet. Achtung: Auch die erste Messung soll bereits das richtige Ergebnis einer vollständigen Periode liefern. Daher muss der Automat nach dem Start erst einmal auf die erste positive Signalflanke warten und dann die erste Periodenzählung beginnen. Mit der Stop-Taste wird die Messung beendet und der letzte Messwert auf der Anzeige, bzw. im Speichermodul eingefroren. Bild 3 (siehe Anhang Timing-Diagramm ) zeigt einen typischen Zeitverlauf der Messung mit folgenden Signalen: ms_clk: Systemtakt mit 1 khz start: Starttaste, startet die Messung mit High-Pegel stop: Stoptaste (im Beispiel-Diagramm (Bild 3) nicht aktiv) PowerLine: zu messendes Signal, hier ca. 50 Hz cnt_out: Zählerausgang, wird mit positiver PowerLine-Flanke zurückgesetzt und zählt bis zur nächsten positiven Flanke die Systemtakte Periode: Messwert = Speicherausgang. Mit Hilfe des Steuersignals enable (= per_wr ) wird bei jeder positiven PowerLine-Flanke der erreichte Zählerstand im Speicher ( lpm_ff ) abgespeichert und auf die anzuschließende Anzeige geschaltet. Die Messwerte werden immer um 1 niedriger angezeigt, da der Zähler bei 0 anfängt zu zählen (nicht bei 1). Die erste Periode wird mit 13 hex angezeigt, das bedeutet dezimal 19+1 = 20 ms.die zweite Periode ist im Beispiel um 1 ms länger. running: Statusanzeige, wird auf eine LED geschaltet und zeigt mit High-Pegel den aktiven Messmodus an PM_Automat: Zustandsanzeige des Automaten (keine Signalleitung). Bei jedem Flankenwechsel der Zustandsanzeige wechselt der Automat in einen neuen Zustand. Entwickeln Sie nun aus der Aufgabenstellung und dem vorgegebenen Zeitdiagramm einen passenden Automaten. Vorübung siehe nächste Seite Praktikum Digitaltechnik - Dipl.-Ing. P. Hunstig - DI-V3_Aufg_SS15 - SS2015 S. 5 / 10

6 Vorübung Hilfestellung Welche unterschiedlichen Aktionen bzw. Zustände sind für die gestellte Aufgabe notwendig? Welche Steuersignale werden in den jeweiligen Zuständen aktiviert? D.h., welche Pegel (Low / High) besitzen die Automaten-Ausgänge in den einzelnen Zuständen? Entwickeln Sie aus diesen Überlegungen ein konkretes und vollständiges Zustandsübergangsdiagramm, wie es beispielhaft in Bild 3 dargestellt ist. Hilfestellung bietet auch das Timindiagramm in Bild 4 (siehe Anhang). Das Diagramm in Bild 3 zeigt ein willkürliches Beispiel eines Automaten, das allerdings schon viele Ähnlichkeiten mit der Lösung für unsere Aufgabe hat. - Die Kreise stellen die verschiedenen Zustände des Automaten mit seinen Ausgangssignalen dar (hier: 6 Zustände und 4 Ausgangssignale). Die Ausgangssignale steuern die notwendigen Aktionen außerhalb des Automaten. Das Bitmuster der Ausgangssignale muss der Entwickler für jeden Zustand so festlegen, wie es für die Aufgabenstellung sinnvoll ist. - Die Pfeile zwischen den Zuständen charakterisieren die Übergänge, die mit den positiven Taktflanken des Systemtakts erfolgen, in Abhängigkeit von den Eingangssignalen (hier: 3 Eingänge). Beispiel Idle -Zustand: Der Zustand Idle soll der Zustand nach dem Einschalten sein. Die 4 Ausgänge haben das Bitmuster Mit der nächsten Taktflanke ergibt sich der Folgezustand aus den 3 Eingangssignalen. Im Idle -Zustand gibt es hier 3 Verzweigungsmöglichkeiten: 1. Wenn Eingänge = x0x, dann Folgezustand = Idle (=Ausgangszustand) D.h., wenn der Eingang 2 Low-Pegel hat (0), bleibt der Automat im Idle -Zustand. Die Eingänge 1 und 3 spielen keine Rolle (x = don t care). 2. Wenn Eingänge = 11x, dann Folgezustand = Z1 D.h., wenn die Eingänge 1 und 2 beide High-Pegel haben (1), springt der Automat in den Zustand Z1 und die Ausgänge wechseln zum Bitmuster Eingang 3 spielt keine Rolle (x). 3..Wenn Eingänge = 01x, dann Folgezustand = Z5, usw. Bild 3: Beispiel eines Zustandsübergangsdiagramms Praktikum Digitaltechnik - Dipl.-Ing. P. Hunstig - DI-V3_Aufg_SS15 - SS2015 S. 6 / 10

7 Anhang: Automatenbeispiel in AHDL Das folgende Automatenbeispiel entspricht dem willkürlichen Zustandsübergangsdiagramm in Bild 4 und stellt die konkrete Umsetzung des Diagramms in die Hardwarebeschreibungssprache AHDL dar. Am Versuchstag muss dieses vorgegebene Beispiel entsprechend Ihrem in der Vorübung entwickelten Zustandsübergangsdiagramm an die konkrete Aufgabenstellung angepasst werden. % DI-V3 % % Datei: PM_Automat.tdf % % Periodenmessung % % Anni & Manni, % SUBDESIGN PM_Automat % muss dem Dateinamen entsprechen % ( ms_clk : INPUT; % Systemtakt % pl : INPUT; % Eingangssignal PowerLine % start, stop : INPUT; % Eingangssignale Steuertasten % cnt_clr, cnt_ena : OUTPUT; % Ausgangssignale % per_wr, running: OUTPUT; ) VARIABLE ss: MACHINE OF BITS (cnt_clr, cnt_ena, per_wr, running) % ss = Name des Automaten % % In Klammern: Registerausgaenge % WITH STATES ( Idle = B"1000", % Ruhezustand % Z1 = B"0010", % irgendwas % Z2 = B"1001", % irgendwas % Z3 = B"0111", % irgendwas % Z4 = B"0001", % irgendwas % Z5 = B"0001" ); % irgendwas % BEGIN ss.clk = clk; % interner Automatentakt wird mit externem Taktsignal verbunden % TABLE % Zustandsuebergaenge % ss, pl, start, stop => ss; % Ausgangszustand, Eingaenge => Folgezustand % Idle, x, 0, x => Idle; Idle, 1, 1, x => Z1; Idle, 0, 1, x => Z5; Z1, x, 0, x => Z1; Z1, x, 1, x => Z2; Z2, x, x, x => Z3; Z3, x, x, x => Z4; Z4, x, x, 0 => Z5; Z4, x, x, 1 => Idle; Z5, 1, 0, x => Z5; Z5, x, 1, x => Z1; Z5, 0, 0, x => Z2; END TABLE; END; % Glueck auf! % Praktikum Digitaltechnik - Dipl.-Ing. P. Hunstig - DI-V3_Aufg_SS15 - SS2015 S. 7 / 10

8 Hardware-Komponenten Altera DE0 Board - Expansion Header The DE0 Board provides two 40-pin expansion headers. Each header connects directly to 36 pins of the Cyclone III FPGA, and also provides DC +5V (VCC5), DC +3.3V (VCC33), and two GND pins. Among these 36 I/O pins, 4 pins are connected to the PLL clock input and output pins of the FPGA allowing the expansion daughter cards to access the PLL blocks in the FPGA. Praktikum Digitaltechnik - Dipl.-Ing. P. Hunstig - DI-V3_Aufg_SS15 - SS2015 S. 8 / 10

9 Anhang: Blockschaltbild Bild 1: Blockschaltbild Praktikum Digitaltechnik - Dipl.-Ing. P. Hunstig - DI-V3_Aufg_SS15 - SS2015 S. 9 / 10

10 Anhang: Timingdiagramm Bild 4: Timingdiagramm Das Zeitdiagramm ergibt sich aus der Schaltungssimulation und entspricht einer funktionierenden (noch nicht optimierten) Lösung für die gegebene Aufgabenstellung. (Mit dem willkürlichen Zustandsübergangsdiagramm in Bild 3 hat das Zeitdiagramm nichts zu tun.)). Interessant ist neben einigen Schaltungssignalen insbesondere auch die letzte Zeile, in der die Zustandswechsel gut zu erkennen sind. Aus taktischen Gründen sind allerdings die Zustandsnamen gelöscht, außer beim Idle-Zustand. Einige Hinweise dazu: - Der Zählerausgang (cnt_out) und der Speicherausgang (Periode) werden hexadezimal angezeigt. - Beim Einschalten startet der Automat mit dem Ruhezustand Idle. - Mit Drücken der Start-Taste beginnt die Messphase. Allerdings springt der Automat erstmal in einen Wartezustand, um den Beginn einer neuen PowerLine- Periode abzuwarten. - Sobald der Automat den Beginn der PowerLine-Periode erkannt hat (an dessen High-Pegel), springt er in einen kurzen Zwischenzustand, dessen Bedeutung Sie sich noch klarmachen müssen. - Anschließend geht es in einen Zählzustand, in dem der Zähler mit jedem Systemtakt hochzählt. Dabei wechselt der Automat während der PowerLine-Periode nochmals seinen Zustand. (Warum?) - Am Ende der PowerLine-Periode (gleichzeitig der Begin der nächsten Periode) folgt ein kurzer Zustand, an dessen Ende der Speicher einen neuen Messwert (13) übernimmt und der Zähler zurückgesetzt wird (00). - Anschließend beginnt eine neue Zählphase. - Am Ende dieser neuen PowerLine-Periode übernimmt der Speicher wieder einen neuen Wert. Diesmal den Wert 14hex, da diese Periode zur Demonstration um einen Takt länger ist als die vorhergehende. Praktikum Digitaltechnik - Dipl.-Ing. P. Hunstig - DI-V3_Aufg_SS15 - SS2015 S. 10 / 10

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

Lösung Versuch Nr. 5 Automaten

Lösung Versuch Nr. 5 Automaten of f 0 of stp fwd re fwd stp f wd stp r ev 1 A 2 v of rev o f 3 s tp rev C fw d B Digitaltechnik Praktikum 1.Sem. 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 5 Automaten 1: 3-Phasen Takt Generator

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-2 Fehlersuche in digitalen Schaltungen Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-2 Versuch L-2 Allgemeines In diesem Versuch soll das Auffinden und

Mehr

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN Grundlagenlabor Digitaltechnik Prof. Dr.-Ing. Walter Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik Universität Bremen ITEM GUNDLAGENLABO DIGITALTEHNI VEUH 4 VEUHTHEMA FLIP-FLOP AL

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum:

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: 20.12.2007 5.5.1 Steuerung einer Ampel Aufgabenstellung: Es soll ein Automat zur Steuerung

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

Grundstruktur von Schaltwerken

Grundstruktur von Schaltwerken Digitaltechnik Teil1.1 THEMA Grundstruktur von Schaltwerken Beschreibung Schaltwerke benutzen im Gegensatz zu einfachen Netzwerken auch Speicherbausteine, d.h. sie haben ein Gedächnis, die Schaltung kann

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) Gegeben ist ein binärer Taschenrechner (siehe Abb. 1), der als Eingabe die Tasten 0, 1, +, - und = und

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 3. Vorlesung Dr.-Ing. Wolfgang Heenes 28. April 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Verilog HDL, Simulation und

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Laborprotokoll Informationstechnologien

Laborprotokoll Informationstechnologien Laborprotokoll Informationstechnologien TKS 2004, Sommersemester 2004/05 Klaus Roleff, Andreas Unterweger ITLB2 Seite 1 von 13 Kombinatorische Beispiele Übung 1a Übungsziel: Programmierung einer 2-Bit-ALU

Mehr

Lösung Versuch Nr. 6 Melody-Player

Lösung Versuch Nr. 6 Melody-Player Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 6 Melody-Player 1: Melodie aus Zufallsgenerator 1. Überlegen Sie sich, wie man die Grundschaltung des MLS-Generators

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Klausuraufgaben: Flip-Flops

Klausuraufgaben: Flip-Flops Klausuraufgaben: Flip-Flops - Seite 1 Klausuraufgaben: Flip-Flops (1.) Nennen Sie 3 verschiedene Flip-Flops. (2.) Was bezeichnet man bei RS-Flip-Flop als den verbotenen Zustand? (3.) Zeichnen Sie ein RS

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller SS 2004 VAK 18.004 Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller Aufgabenblatt 2.5 Lösung 2.5.1 Befehlszähler (Program Counter, PC) enthält Adresse des nächsten auszuführenden

Mehr

Klausur - Digitaltechnik

Klausur - Digitaltechnik Klausur - Digitaltechnik Aufgabe : Testen integrierter Schaltungen: D-Algorithmus (3 Punkte: a 2, b, c 5, d 3, e 2) B = S N A >= O OR Der Ausgang des N-Gatters soll auf einen Stuck-AT--Fehler überprüft

Mehr

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte:

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte: Versuch Versuch.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und 4,5V. Messschaltung: I D U 2 U DS U U GS Gemessene Werte: U GS = 3V U DS [V ] I D [ma] 0 0.0

Mehr

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen.

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen. 1. Vorbereitung: 1.1 Zählerbaustein 74163 Bei den in der Schaltung verwendeten Zählerbausteinen handelt es sich um synchron programmierbare 4-bit-Binärzähler mit synchronem Clear. Die Zähler sind programmierbar,

Mehr

Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar

Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar Arbeitsblatt : Modulo-3-Vorwärtszähler der im Dualcode (Ausgang A1-A0 ) die positiven Flanken des Eingangssignals E zählt. Für den ersten Entwurf stehen

Mehr

Das Eingangstaktsignal kann entweder intern oder extern eingespeist werden.:

Das Eingangstaktsignal kann entweder intern oder extern eingespeist werden.: Firmware /FREQ Die /FREQ-Firmware arbeitet als komfortabler 9-MHz-Frequenzzähler (Counter) mit zahlreichen Zusatzfunktionen, wie Digital-I/O, PWM-Ausgang, Puls-/Taktgenerator.und sechs A/D-Eingängen. Digital-I/O

Mehr

Kapitel 5 - Datenübertragung

Kapitel 5 - Datenübertragung Kapitel 5 - Datenübertragung Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und

Mehr

Kennenlernen der Laborgeräte und des Experimentier-Boards

Kennenlernen der Laborgeräte und des Experimentier-Boards Kennenlernen der Laborgeräte und des Experimentier-Boards 1 Zielstellung des Versuches In diesem Praktikumsversuch werden Sie mit den eingesetzten Laborgeräten vertraut gemacht. Es werden verschiedene

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

E Q 1 Q 0 D 1 D X X X X

E Q 1 Q 0 D 1 D X X X X Musterlösung Übung 9 Aufgabe 1 a) Wahrheitstabelle: E Q 1 Q 0 D 1 D 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 X X 1 0 0 0 0 1 0 1 0 0 1 1 0 0 1 1 1 1 X X Bei diesem Automaten handelt es sich um einen Moore-Automaten.

Mehr

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, die Nutzung von synthetisierbaren

Mehr

Digitaltechnik Laborversuch 1. Bitmustererkennung. Wichtige Informationen

Digitaltechnik Laborversuch 1. Bitmustererkennung. Wichtige Informationen Digitaltechnik Laborversuch Bitmustererkennung Name: vereinbarter Termin ter Termin 3ter Termin (Ausnahme) Nachgespräch Matr.-Nr.: Datum Test Versuchsdurchführung Anmerkung Unterschrift Wichtige Informationen

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Manual Universaladapterboard für Spartan3_Board

Manual Universaladapterboard für Spartan3_Board Manual Universaladapterboard für Spartan3_Board Programmieranschluss Tastaturanschluss Mausanschluss Richtungs LEDs Logik Level LED Verbinder zum Spartan3_Board Manual_Universaladapterboard_deu.pdf 1/6

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Entwurf asynchroner Automaten

Entwurf asynchroner Automaten 5.2.4. Entwurf asynchroner Automaten Die kombinatorische Logik, die in einem synchronen Automaten die Belegungen der Dateneingänge der Flipflops bereitstellt, muß für jedes Flipflop bei jedem Triggerereignis

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Digitaltechnik Grundlagen 9. Zählschaltungen

Digitaltechnik Grundlagen 9. Zählschaltungen 9. Zählschaltungen Version 1.0 von 02/2018 Zählschaltungen Gliederung: - Grundlagen - Synchrone Zähler - Einführung - Systematischer Entwurf 2 Zählschaltungen - Grundlagen Ebenfalls häufige Anwendung von

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr Praktikum: VLSI-Entwurf 6 VHDL Standardzell Entwurf Entwurf einer Weckuhr Andreas Mäder Universität Hamburg MIN Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme http://tams.informatik.uni-hamburg.de

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Digitallabor Aufgabe 5.4 Lauflicht

Digitallabor Aufgabe 5.4 Lauflicht Digitallabor Aufgabe 5.4 Lauflicht Teilnehmer: Natalia Springer, Tong Cha 5.4.1 Lauflicht Aufgabenstellung Aus den Dioden D1..D8 ist ein Lauflicht zu erstellen. Es soll eine Diode leuchten. Bei jeder positiven

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Arduino Kurs Timer und Interrupts. Stephan Laage-Witt FES Lörrach

Arduino Kurs Timer und Interrupts. Stephan Laage-Witt FES Lörrach Arduino Kurs Timer und Interrupts Stephan Laage-Witt FES Lörrach - 2018 Themen Timer Interrupts Regelmäßige Aufgaben ausführen Exakte Zeitintervalle messen FES Lörrach Juni 2018 2 Exakte Zeiten sind gar

Mehr

Einführung in die Elektronik für Physiker

Einführung in die Elektronik für Physiker Hartmut Gemmeke Forschungszentrum Karlsruhe, IPE hartmut.gemmeke@kit.de Tel.: 7247-82-5635 Einführung in die Elektronik für Physiker 2. ALTERA HDL Übungen Beschreibung des Experimentieraufbaus UP/2/3,

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Arduino Kurs Bits und Bytes. Stephan Laage-Witt FES Lörrach

Arduino Kurs Bits und Bytes. Stephan Laage-Witt FES Lörrach Arduino Kurs Bits und Bytes Stephan Laage-Witt FES Lörrach - 2018 Themen Digitale Eingabe Bit, Byte und Wort Reaktionszeittester FES Lörrach Juli 2017 2 Was ist ein Bit? Ein Bit ist die kleinste Informationseinheit

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Integrated HW/SW Systems Group 20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel.

Integrated HW/SW Systems Group  20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel. Erweiterte Simulation / Aufgaben 20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 20 November 2009 1 Inhalt Erweiterung der Simulation Ausgabe der Bilddaten in eine Datei

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Erzeugen von PWM-Signalen mit dem Atmel AVR-Mikrocontroller

Erzeugen von PWM-Signalen mit dem Atmel AVR-Mikrocontroller Fachbereich Elektrotechnik und Informatik Labor für Angewandte Informatik und Datenbanken Praktikum Automatisierung/Echtzeitregelung (BAU/BER) Prof.Dr.-Ing. Coersmeier Erzeugen von PWM-Signalen mit dem

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

AVR Ein/Ausgabe. Inhaltsverzeichnis

AVR Ein/Ausgabe. Inhaltsverzeichnis Inhaltsverzeichnis AVR Ein/Ausgabe 1 Pinout Arduino Board...4 2 AVR PORT - I N P U T PINB...6 3 AVR PORT Architektur Bausteine...8 4 AVR Port O U T P U T PORTB...10 5 AVR PORT Architektur: Fragen 2...12

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT Lösungshinweise zur 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) ( Punkte) a) Welche Schaltblöcken können asynchron (ohne Takt) betrieben werden? Lösung:

Mehr

Versuch: D2 Zähler und Ampelschaltung

Versuch: D2 Zähler und Ampelschaltung Versuch: D2 Zähler und Ampelschaltung Ziel dieses Versuches: asynchrone und synchrone Zähler entwerfen, aufbauen und untersuchen, damit eine Ampelschaltung betreiben Inhalte: asynchroner 4 Bit-Zähler,

Mehr

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein. Kapitel 5 Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und seinen eigenen Zustand

Mehr

Technische Dokumentation

Technische Dokumentation Mit dem Erweiterungsmodul wird ein System mit einem Multitaskingcomputer der BASIC- Tiger TM Familie um 64 Ein- und Ausgänge erweitert. Das Modul bietet sechs 8-Bit I/O Ports, dessen Bits einzeln als Eingang

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

.Universelles Demonstrationssystem für Grundlagen der Digitaltechnik /

.Universelles Demonstrationssystem für Grundlagen der Digitaltechnik / / Mikrocomputertechnik Eingabetastatur Hexadezimal Schalter Addierer 7Segment Anzeige 47 / 13 V.03 Technische Änderungen vorbehalten!.universelles Demonstrationssystem für Grundlagen der / Mikrocomputertechnik.Anzeigen

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, SS202 Übungsgruppen: Do., 26.04. Mi., 02.05.202 ufgabe : Zahlenumwandlung mittels Tabellenspeicher Konstruieren Sie eine Schaltung,

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

9.1.2 Schieberegister Schieberegister (SR) sind einfache lineare Verkettungen von Speicherelementen. Nach dem Takt gilt folgendes: 1

9.1.2 Schieberegister Schieberegister (SR) sind einfache lineare Verkettungen von Speicherelementen. Nach dem Takt gilt folgendes: 1 Fakultät für Physik Prof. Dr. M. Weber, Dr. K. Rabbertz B. Siebenborn, P. Jung, P. Skwierawski, C. Thiele 19. Januar 2013 Übung Nr. 9 Inhaltsverzeichnis 9.1 Grundschaltungen (2P).....................................

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten 1/28 2012-02-29 Flip-Flops Frage: wie kann man Werte speichern?

Mehr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch 10.05.2017, 14:00 Uhr 1.1. Einführung In dieser Übung werden Sie ein VHDL-Modul

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 14/15 1 Kombinatorische Logik

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr