Grundlagen der Technischen Informatik. Funktion und Struktur. Kapitel 2

Größe: px
Ab Seite anzeigen:

Download "Grundlagen der Technischen Informatik. Funktion und Struktur. Kapitel 2"

Transkript

1 Funktion und Struktur Kapitel 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design

2 Der Systembegriff - Systemvielfalt Der Begriff System wird häufig im Zusammenhang mit natürlichen, technischen und organisatorischen Gebilden mit komplexem Erscheinungsbild eingesetzt Beschreibt generell die Gliederung, den Aufbau, das Ordnungs- und Einordnungsprinzip in verwandte oder ähnlich gebaute Gruppen Beispiele: 2

3 Der Systembegriff Wegen der unüberschaubaren Vielfalt an möglichen Systemen entzieht sich der Begriff einer allgemeinen Definition: natürlich oder künstlich konkret oder abstrakt statisch oder dynamisch offen oder abgeschlossen Für jedes konkrete System kann eine Fülle zusätzlicher Merkmale (oder Attribute) festgelegt werden bzgl.: des Grades der Bestimmtheit der Entstehungsweise der örtlichen und zeitlichen Konzentriertheit der Zeitabhängigkeit usw. Notwendig: Beschränkung des Systembegriffs auf Bereiche (technische Anwendungen) 3

4 Der Systembegriff Bei Beschränkung auf technische Sichtweise gilt: Ein System umfasst stets kleinere Anteile - Untersysteme, Komponenten, Module, Bausteine, Elemente sogenannte Objekte 4

5 Der Systembegriff - technische Sichtweise Zwischen den Objekten eines Systems müssen Beziehungen bestehen Beziehungen können materieller, energetischer und informationeller Natur sein ermöglichen Zusammenwirken solcher Objekte Gesamtheit dieser Beziehungen bildet zusammen mit den Objekten die Struktur des Systems 5

6 Der Systembegriff - technische Sichtweise Objekte und Beziehungen bilden eine abgeschlossene Einheit - nur über definierte Schnittstellen (externe Schnittstellen) kann ein System betreten und verlassen werden Ein-/Ausgabebeziehungen 6

7 Darstellung von Systemen Externe Sicht (Architektur) für den Benutzer keine Aussagen über die interne Realisierung dieses Systems Interne Sicht für den Hersteller und Betreiber Daher: Bedarf an geeigneten Beschreibungsmöglichkeiten Funktion F umgangssprachlich, fachsprachlich oder mathematisch/formal festlegen Diese Notation dient dann häufig als Referenz zur Realisierung von F (z.b. zur Konstruktion von Anlagen, Geräten und Programmen) F 7

8 System: Beispiel Tic-Tac-Toe Roboter Funktionsweise: Aufbau: Roboter mit Sensoren zum Lesen eines Tic-Tac-Toe Feldes und Stift zum Zeichnen der eigenen Marke Aufgabe: Der Roboter soll mit einem Gegenspieler das Strategiespiel Tic-Tac-Toe spielen und dabei strategisch optimale Spielzüge setzen. 8

9 System: Beispiel (Fortsetzung) Festlegung der Gesamtfunktion F: Zu Beginn wird durch rote oder grüne Karte gezeigt, wer das Spiel eröffnet (Roboter oder Spieler). Dann alternierend bis Spielende: Spieler: Roboter fährt in Warteposition, bis Spieler Zug beendet hat. Roboter: Roboter fährt zum Spielfeld, sucht es nach neu plaziertem Symbol, berechnet nächsten Spielzug und setzt eigenes Symbol. 9

10 System: Beispiel (Fortsetzung) Festlegung der Gesamtfunktion F: Eingabe: Farbsensor (1) lese Karte, Ultraschallsensor (2) erkenne Spieleraktivität, Lichtsensor (3) scanne Spielfeld Ausgabe: Motor zu/vom Spielfeld fahren, Motor Arm aus- /einfahren, Motor Stift runter-/hochfahren, Lautsprecher 10

11 System: Beispiel (Fortsetzung) Externe Schnittstellen von F: Die Festlegung von F sagt noch nichts aus, wie das Gerät zu realisieren ist und welche technischen Randbedingungen dabei zu berücksichtigen sind Wir erhalten lediglich einige Angaben über die externen Schnittstellen, mit deren Hilfe die Funktion F mit der Außenwelt in Wechselwirkung tritt Farbsensor lese Karte Ultraschallsensor erkenne Spieleraktivität Lichtsensor scanne Spielfeld F Motor zu/vom Spielfeld fahren Motor Arm aus-/einfahren Motor Stift runter- /hochfahren Lautsprecher 11

12 System: Hierarchie und Aufteilung Komplexes System: Unterteilung in überschaubare Teilfunktionen Hierarchie Höher angesetzte Ebene umfasst dabei stets die Spezifikation eines Systems (bzw. einer Systemkomponente) Tiefere Ebene umfasst eine Anordnung von funktionell weniger mächtigen Komponenten f i und deren Spezifikation F f i Verfeinerung in Teilsysteme f (Komponenten) Verfeinerungsschritt: Transformationen der funktionellen Beschreibung in eine strukturelle Beschreibung f j f k 12

13 System: Hierarchie und Aufteilung Beispiel: Auflösung des Systems Tic-Tac-Toe Roboter in Teilsysteme Tic-Tac-Toe Controller Farbe Fahren Ultraschall Lichtsensor Sensor Reader Artificial Intelligence Motor Control Arm Stift Audio Control Lautsprecher 13

14 Mehrstufige Verfeinerung Bei heutigen Digitalsystemen reicht eine einstufige Verfeinerung meist nicht aus, um eine Realisierung vornehmen zu können Deshalb ist eine mehrstufige Aufteilung des Systems sinnvoll, um die Komplexität zu reduzieren Verfeinerungsschritte können auch als Transformationen der funktionellen Beschreibungen in eine strukturelle aufgefasst werden Definition der Teilsysteme f (Komponenten): - realisieren genau bestimmbare Funktionsteile der Gesamtfunktion F - präzise funktionelle Abgrenzung gegenüber anderen Teilsystemen Wichtig: Relationen zwischen den unterschiedlichen Teilsystemen f Verbindungsrelationen und geeignete Verbindungsstrukturen 14

15 Mehrstufige Verfeinerung Mehrstufige Systemverfeinerung F 0 1. Verfeinerungsschritt f 12 f 11 f 13 F 11 F 12 F Verfeinerungsschritt f 21 f 24 f 26 f28 f 22 f 23 f 25 f 27 F 24 F Verfeinerungsschritt f 31 f 32 f 33 f 34 f 35 15

16 Mehrstufige Verfeinerung Mehrstufige Systemverfeinerung F Spezifikation 0 1. Verfeinerungsschritt f 12 f 11 f 13 F Top-Down 11 zunehmend f 21 mehr f 22 f 23 Detailreichtum f 24 F 12 F 13 f 25 Bottom-Up 2. Verfeinerungsschritt zunehmend f 26 mehr f 27 Abstraktion f28 F 24 F Verfeinerungsschritt f 31 f 32 f 33 Struktur f 34 f 35 16

17 Systematischer Entwurf digitaler Systeme Entwurf von digitaltechnischen Systemen folgt Top-Down-Prinzip Einzelne Komponenten einer bestimmten Technologie werden im Bottom-Up-Prinzip technisch optimal gestaltet und in Top-Down-Weg einbezogen Tatsächliches Entwerfen häufig ein iteratives Vorgehen: Beide Entwurfsrichtungen abwechselnd über eine oder mehrere Verfeinerungsstufen Smartphone System-on- Chip 17

18 Systematischer Entwurf digitaler Systeme Entwurf von digitaltechnischen Systemen folgt Top-Down-Prinzip Einzelne Komponenten einer bestimmten Technologie werden im Bottom-Up-Prinzip technisch optimal gestaltet und in Top-Down-Weg einbezogen Tatsächliches Entwerfen häufig ein iteratives Vorgehen: Beide Entwurfsrichtungen abwechselnd über eine oder mehrere Verfeinerungsstufen Im Entwurf digitaltechnischer Systeme unterscheidet man drei Hauptgruppen, die eine Reihe von Transformationsschritten zusammenfassen: Systementwurf (manuell mit Unterstützung durch Automatisierung) Logischer Entwurf (Automatisierung) Physikalischer Entwurf (Automatisierung) 18

19 Systematischer Entwurf digitaler Systeme Automatisierung des Entwurfsablaufs Für die meisten Entwurfsaufgaben kommt nur eine Mischung aus manuellem und automatisiertem Entwurf in Frage Hierfür werden eine Reihe von CAD-Werkzeugen von verschiedenen Herstellern angeboten (Cadence, Synopsys, Mentor Graphics etc.) manuell: Funktion F n automatisch: Funktion F n Entwickler f 1,,f k Computer Aided Design Programm f 1,,f k Struktur STR n+1 Struktur STR n+1 19

20 Technische Informatik - Meilensteine Computer Aided Design (CAD) Benutzerschnittstellen Modellierung Hierarchie und Abstraktion CAD Formale Spezifikation Syntheseverfahren Datenbanken Optimierungsalgorithmen Reduktion von Entwurfskosten und Entwurfszeiten Wiederverwendbarkeit, Entwurfssicherheit, Optimierte Dokumentation, Lösungen, Produktwartung 20

21 Systematischer Entwurf digitaler Systeme Abstraktionsebenen System Modul (Register-Transfer-Ebene) Gatter (Logik-Ebene) Transistor Physikalisch 21

22 Systematischer Entwurf digitaler Systeme architecture structural of first_tap is signal x_q,red : std_logic_vector(bitwidth-1 downto 0); signal mult : std_logic_vector(2*bitwidth-1 downto 0); begin Hardware Beschreibung (RT-Ebene) Netzliste (Logik- Ebene) delay_register: process(reset,clk) begin if reset='1' then x_q <= (others => '0'); elsif (clk'event and clk='1') then x_q <= x_in; end if; end process; Automatisierte Synthese (Synopsys) mult <= signed(coef)*signed(x_q); Chip Wafer Masken- Layout Platzierung & Verdrahtung (Cadence/ Mentor) Fabrikation 22

23 Entwurfsverfahren Was automatisierter Entwurf auch sein kann...? Idee Computer Aided Design 23

24 SystemCoDesigner Entwurfsfluss writes MJPEG Stream Parser Huffman decoder Inverse ZRL DC decoder Inverse Quantific ation Automatic model extraction Network graph Architecture graph specifies Image Pixel Color Inverse Inverse Display Sink shuffling conv. DCT ZigZag SysteMoC application Reuse Specification graph SysteMoC application VPC Plugin Simulative Evaluators Analytical Evaluators DSE Evolutionary Algorithm Pareto solutions C++-Backend Skeleton files Parser Pretty print Target AST XML-Backend Pretty print DOM tree Synthesis GeneratorFactory GeneratorPool Generator Generator Code Generation Frontend C++ Parser Source AST Network graph Architecture graph 24??? 2 2 a a a Clustering??? selects

25 Technische Informatik - Ein Lernziel Hardware entwirft man heutzutage wie Software! Software Spezifikation in einer Programmiersprache, z.b., C, C++, Java Hardware Spezifikation in einer (Hardware-) Beschreibungssprache, z.b.,vhdl, SystemC (C++ Bibliothek), aber auch C Übersetzung in Maschinencode Codeoptimierung Scheduling Bindung Übersetzung in eine Schaltung Codeoptimierung Scheduling Bindung 25

26 Praktische Übung Kennenlernen der Hardwarebeschreibungssprache VHDL Entwurf, Simulation und Synthese eines digitalen Weckers Realer Hardwareentwurf 26

Grundlagen der Technischen Informatik. Funktion und Struktur. Kapitel 2. Der Systembegriff - Systemvielfalt. Der Systembegriff.

Grundlagen der Technischen Informatik. Funktion und Struktur. Kapitel 2. Der Systembegriff - Systemvielfalt. Der Systembegriff. Der Systembegriff - Systemvielfalt Grundlagen der Technischen Informatik Funktion und Struktur Kapitel 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Der Begriff System

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Digital Design 5 Rechnergestützte Schaltungsentwicklung

Digital Design 5 Rechnergestützte Schaltungsentwicklung 5 Rechnergestützte Schaltungsentwicklung 5.1 Technologische Trends Richard Roth / FB Informatik und Mathematik Rechnergestützte Schaltungsentwicklung 1 Richard Roth / FB Informatik und Mathematik Rechnergestützte

Mehr

Electronic Design Automation (EDA) Systementwurf

Electronic Design Automation (EDA) Systementwurf Electronic Design Automation (EDA) Systementwurf Systembegriff Beispiel Antiblockiersystem Signalverarbeitung Hardware/Software- Partitionierung Hardware oder Software? Electronic Design Automation Systementwurf:

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Systemtheorie 1. Formale Systeme 1 # WS 2006/2007 Johannes Kepler Universität Linz, Österreich

Systemtheorie 1. Formale Systeme 1 # WS 2006/2007 Johannes Kepler Universität Linz, Österreich Einführung 1 Systemtheorie 1 Formale Systeme 1 #342234 http://fmv.jku.at/fs1 WS 2006/2007 Johannes Kepler Universität Linz, Österreich Univ. Prof. Dr. Armin Biere Institut für Formale Modelle und Verifikation

Mehr

Software Engineering. 5. Architektur

Software Engineering. 5. Architektur Software Engineering 5. Architektur Gliederung Vorlesung Einführung V-Modell XT Analyse und Anforderungsmanagement Benutzungsoberflächen Architektur Entwurf Entwurfsmuster Persistenz Implementierung Konfigurationsmanagement

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

Systemtheorie 1. Einführung Systemtheorie 1 Formale Systeme 1 # WS 2006/2007 Armin Biere JKU Linz Revision: 1.4

Systemtheorie 1. Einführung Systemtheorie 1 Formale Systeme 1 # WS 2006/2007 Armin Biere JKU Linz Revision: 1.4 Einführung intro 1 Grobklassifizierung r Methoden in der Informatik intro 2 Systemtheorie 1 Systeme 1 #342234 http://fmv.jku.at/fs1 WS 2006/2007 Johannes Kepler Universität Linz, Österreich Univ. Prof.

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Grundlagen der Technischen Informatik. Kapitel 0

Grundlagen der Technischen Informatik. Kapitel 0 Organisatorisches Grundlagen der Technischen Informatik Kapitel 0 Vorlesung: Di. 14:15-15:45 Uhr, H8 und Do. 8.30-10.00 Uhr, H8 Übung: Mo. 12.00-14.00 Uhr, H4 (Schmitt B.) Mo. 16:00-18:00 Uhr, 00.156 (Danner

Mehr

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Einführung mit VHDL und SystemC von Prof. Dr.-Ing. Frank Kesel und Dr. Rüben Bartholomä 2., korrigierte Auflage Oldenbourg Verlag München

Mehr

IuK-Projekt am Institut für Mikroelektronik und Eingebettete Systeme. Prof. Dr.-Ing. Peter Schulz Sommersemester 2013

IuK-Projekt am Institut für Mikroelektronik und Eingebettete Systeme. Prof. Dr.-Ing. Peter Schulz Sommersemester 2013 am Institut für Mikroelektronik und Eingebettete Systeme Sommersemester 2013 1 Das Institut imes bietet ein fortlaufendes Mechatronik-Projekt an. Der Einstieg ist semesterweise möglich. Die Laufzeit aus

Mehr

Bounded Model Checking mit SystemC

Bounded Model Checking mit SystemC Bounded Model Checking mit SystemC S. Kinder, R. Drechsler, J. Peleska Universität Bremen {kinder,drechsle,jp}@informatik.uni-bremen.de 2 Überblick Motivation Formale Verifikation Äquivalenzvergleich Eigenschaftsprüfung

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

11/2009 Bernhard Gangl. Steuerungen mit OOP entwickeln 11 / 2009 1. Themenübersicht. Übersicht und Begriffsklärung: Objektorientierte Programmierung

11/2009 Bernhard Gangl. Steuerungen mit OOP entwickeln 11 / 2009 1. Themenübersicht. Übersicht und Begriffsklärung: Objektorientierte Programmierung Reduzierung der Engineeringzeiten und kosten durch objektorientierte Steuerungsprogrammierung 11/2009 Bernhard Gangl www.sigmatek-automation.com Steuerungen mit OOP entwickeln 11 / 2009 1 Themenübersicht

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Mathematik Seminar WS 2003: Simulation und Bildanalyse mit Java. Software-Architektur basierend auf dem Plug-in-Konzept

Mathematik Seminar WS 2003: Simulation und Bildanalyse mit Java. Software-Architektur basierend auf dem Plug-in-Konzept Mathematik Seminar WS 2003: Simulation und Bildanalyse mit Java Software-Architektur basierend auf dem Plug-in-Konzept Aufteilung: Probleme mit normaler/alter Software Ziele des Software Engineerings Die

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland Echtzeitbildverarbeitung mit FPGAs Feith Sensor to Image GmbH, Schongau Matthias Schaffland Feith Sensor to Image GmbH Gegründet 1989 als Bildverarbeitungs- Spezialist für kundenspezifische Komponenten

Mehr

Einführung in die Programmierung

Einführung in die Programmierung Einführung in die Programmierung Als Programmierung bezeichnet man die Tätigkeit Computerprogramme (software) zu erstellen. Konzeptioneller Entwurf Umsetzung des Entwurfs in Programmcode (Implementation):

Mehr

Compiler für f r Eingebettete Systeme (CfES)

Compiler für f r Eingebettete Systeme (CfES) Compiler für f r Eingebettete Systeme (CfES) Sommersemester 2009 Dr. Heiko Falk Technische Universität Dortmund Lehrstuhl Informatik 12 Entwurfsautomatisierung für Eingebettete Systeme Kapitel 9 Ausblick

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 6 - ALU Testbench Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Test der ALU Syntax - In ModelSim laden - Einfacher Teil Semantik

Mehr

Vertiefungsrichtung Rechnerarchitektur

Vertiefungsrichtung Rechnerarchitektur srichtung () ( für ) Prof. Dietmar Fey Ziele der srichtung RA Vertiefen des Verständnis vom Aufbau, Funktionsweise von Rechnern und Prozessoren Modellierung und Entwurf von Rechnern und Prozessoren ()

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Schulinterner Lehrplan zum Kernlehrplan für die gymnasiale Oberstufe. Informatik Q2. Stand: 02/2016 Status: Gültig

Schulinterner Lehrplan zum Kernlehrplan für die gymnasiale Oberstufe. Informatik Q2. Stand: 02/2016 Status: Gültig Schulinterner Lehrplan zum Kernlehrplan für die gymnasiale Oberstufe Informatik Q2 Stand: 02/2016 Status: Gültig Unterrichtsvorhaben: Modellierung und Implementierung von Anwendungen mit dynamischen, nichtlinearen

Mehr

Software Engineering

Software Engineering Software Engineering Gustav Pomberger, Wolfgang Pree Architektur-Design und Prozessorientierung ISBN 3-446-22429-7 Weitere Informationen oder Bestellungen unter http://www.hanser.de/3-446-22429-7 sowie

Mehr

Anwendung der Roblet -Tec hnol ogie

Anwendung der Roblet -Tec hnol ogie Anwendung der Roblet -Tec hnol ogie genrob.com Hagen Stanek stanek@genrob.com Universität Hamburg Daniel Westhoff westhoff@informatik.uni-hamburg.de Inhalt 1. Einführung 2. Roblet -Technologie: Roblets

Mehr

Zwischenbericht zum Projekt FPGA-Entwurfssystem

Zwischenbericht zum Projekt FPGA-Entwurfssystem Zwischenbericht zum Projekt FPGA-Entwurfssystem Test und Integration von Synthese- und Layoutwerkzeugen für den FPGA-Entwurf Steffen, M.; Herrmann, P.; Möhrke, U.; Spruth, W.G. Universität Leipzig Augustusplatz

Mehr

Electronic Design Automation (EDA) Spezifikation

Electronic Design Automation (EDA) Spezifikation Electronic Design Automation (EDA) Spezifikation Inhalte einer Spezifikation Beispielspezifikation Ampelsteuerung Formale Beschreibung Blockdiagramme... für die Ampel Zustandsübergangs-diagramme... für

Mehr

Grundlagen der Programmentwurfstechnik Fundamentals of Software Engineering 1

Grundlagen der Programmentwurfstechnik Fundamentals of Software Engineering 1 Fundamentals of Software Engineering 1 Inhaltsverzeichnis 1. Einführung 2. Allgemeine Modellbildung - Klassische Konzepte des Software Engineering- 2.1 Das Kontextmodell 2.2 Entscheidungstabellen 2.3 Zustandsmodelle

Mehr

4.Grundsätzliche Programmentwicklungsmethoden

4.Grundsätzliche Programmentwicklungsmethoden 4.Grundsätzliche Programmentwicklungsmethoden 1.1 Grundlage strukturierter und objektorientierter Programmierung Begriff Software Engineering - umfaßt den gezielten Einsatz von Beschreibungsmitteln, Methoden

Mehr

Inhaltsübersicht. Einführung

Inhaltsübersicht. Einführung Inhaltsübersicht Einführung Operationsverstärker Grundlagen und Hilfsmittel des Entwurfs Design-Flow Synthese Analyse Modellierung VHDL-AMS SystemC,... Systemtheorie Übertragungsfunktionen Regelkreise

Mehr

Vortrag zum Hauptseminar Hardware/Software Co-Design

Vortrag zum Hauptseminar Hardware/Software Co-Design Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Vortrag zum Hauptseminar Hardware/Software Co-Design Robert Mißbach Dresden, 02.07.2008

Mehr

SPKC. Inhalte der Vorlesung. Signalprozessoren und Kommunikationscontroller. Prof. Dr.-Ing. Peter Schulz. Signalprozessoren

SPKC. Inhalte der Vorlesung. Signalprozessoren und Kommunikationscontroller. Prof. Dr.-Ing. Peter Schulz. Signalprozessoren Signalprozessoren und Kommunikationscontroller für den Schwerpunkt Telekommunikationstechnik: für alle anderen Schwerpunkte: Pflichtfach Wahlpflichtfach Inhalte der Vorlesung Signalprozessoren Systemarchitekturen

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Stratego/XT und ASF+SDF Meta-Environment. Paul Weder Seminar Transformationen Datum:

Stratego/XT und ASF+SDF Meta-Environment. Paul Weder Seminar Transformationen Datum: Stratego/XT und ASF+SDF Meta-Environment Paul Weder Seminar Transformationen Datum: 20.01.2006 Gliederung Allgemeines ASF+SDF Meta-Environment Stratego/XT Zusammenfassung/Vergleich SDF (Syntax Definition

Mehr

Formal methods for fun and profit VHDL. Ilja Kipermann. Sommersemester 2005. Leitung Jun. Prof. Beckert. Universität Koblenz-Landau

Formal methods for fun and profit VHDL. Ilja Kipermann. Sommersemester 2005. Leitung Jun. Prof. Beckert. Universität Koblenz-Landau Formal methods for fun and profit VHDL Ilja Kipermann Sommersemester 2005 Leitung Jun. Prof. Beckert Universität Koblenz-Landau Einleitung Früher: Manuelles Zeichnen von Belichtungsmasken Heute: Hardwarebeschreibungssprachen

Mehr

Folien zum Textbuch. Kapitel 2: Planung, Entwicklung und Betrieb von IS. Teil 2: Modellierung von betrieblichen Informationssystemen

Folien zum Textbuch. Kapitel 2: Planung, Entwicklung und Betrieb von IS. Teil 2: Modellierung von betrieblichen Informationssystemen Folien zum Textbuch Kapitel 2: Planung, Entwicklung und Betrieb von IS Teil 2: Modellierung von betrieblichen Informationssystemen Textbuch-Seiten 169-184 WI Planung, Entwicklung und Betrieb von IS IS-Modellierung

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

MetaGaming Model-based Boardgame Development and Strategy Evaluation Betreuer: Michael Lybecait, Dominic Wirkner, Bernhard Steffen

MetaGaming Model-based Boardgame Development and Strategy Evaluation Betreuer: Michael Lybecait, Dominic Wirkner, Bernhard Steffen Kurzvorstellung MetaGaming Model-based Boardgame Development and Strategy Evaluation Betreuer: Michael Lybecait, Dominic Wirkner, Bernhard Steffen Motivation Aufgabe Ziele Einzelpra sentation 1/15 Motivation

Mehr

Software- /Systemarchitektur

Software- /Systemarchitektur Software- /Systemarchitektur Agenda: Definition von Softwarearchitektur Voraussetzungen Was bedeutet Objektorientierung? Wie speichert man Daten persistent? Client-Server-Architektur Schichtenarchitektur

Mehr

Rechnergestützter VLSI-Entwurf

Rechnergestützter VLSI-Entwurf Schaltungssynthese Dipl.-Ing. e-mail: rgerndt@iam.de Seite SYN/1 Motivation Vereinfachung des Systementwurfes Weniger Fehler durch abstrakte Beschreibung Portierbarkeit der Schaltung (PLD, CPLD, FPGA,

Mehr

Kapitel 1 - Einführung

Kapitel 1 - Einführung Vorlesung Graphische Datenverarbeitung Kapitel 1 - Einführung Prof. Dr.-Ing. Wolfgang Reinhardt AGIS/INF4 http://www.agis.unibw-muenchen.de UniBw München Überblick Kap. 1: Einführung (Überblick, Begriffe,

Mehr

Kapitel 2 - Die Definitionsphase

Kapitel 2 - Die Definitionsphase Kapitel 2 - Die Definitionsphase SWT I Sommersemester 2010 Walter F. Tichy, Andreas Höfer, Korbinian Molitorisz IPD Tichy, Fakultät für Informatik KIT die Kooperation von Forschungszentrum Karlsruhe GmbH

Mehr

2.2 Register-Transfer-Synthese

2.2 Register-Transfer-Synthese 2.2 Register-Transfer-Synthese Register-Transfer-Synthese Überblick digitale Synthese Register-Transfer- Synthese Makrozellgeneratoren Beispiel Addierer Beispiel Speicher Synthese endlicher Automaten Zustandskodierung

Mehr

1. Einleitung. 2. Aufgabenstellung. R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim, Tiefenbronnerstraße 65, 75175 Pforzheim

1. Einleitung. 2. Aufgabenstellung. R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim, Tiefenbronnerstraße 65, 75175 Pforzheim Methoden, Werkzeuge und Architekturen zum Entwurf und zur Realisierung von Signal- und Bildverarbeitungsalgorithmen für die Umsetzung in R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim,

Mehr

Kapitel 5: Das Design

Kapitel 5: Das Design Nach der Analyse kommt... Kapitel 5: Das Design SoPra 2008 Kap. 5: Das Design (1/20) Kapitel 5.1: Überblick Was ist Design? Ergebnis der Analyse: abstrakte Definitionen Objektmodell: Klassen, Assoziationen,

Mehr

Architekturen, Werkzeuge und Laufzeitumgebungen für eingebettete Systeme

Architekturen, Werkzeuge und Laufzeitumgebungen für eingebettete Systeme Farbverlauf Architekturen, Werkzeuge und Laufzeitumgebungen für eingebettete Systeme Embedded Systems Christian Hochberger Professur Mikrorechner Fakultät Informatik Technische Universität Dresden Nötiges

Mehr

Lehrstuhl für Datenverarbeitung. Technische Universität München. Grundkurs C++ Objektmodellierung. Grundkurs C++

Lehrstuhl für Datenverarbeitung. Technische Universität München. Grundkurs C++ Objektmodellierung. Grundkurs C++ Grundkurs C++ Objektmodellierung Grundkurs C++ Objektmodellierung welche Objekte bzw. Klassen werden benötigt? welche Information wird benötigt, um ein Objekt zu beschreiben? welche Beziehungen bestehen

Mehr

Automatisierte Rekonfiguration von Schnittstellen in eingebetteten Systemen

Automatisierte Rekonfiguration von Schnittstellen in eingebetteten Systemen Technische Universität Chemnitz Schwerpunktprogramm Rekonfigurierbare Rechensysteme Automatisierte Rekonfiguration von Schnittstellen in eingebetteten Systemen Professur Technische Informatik 12. Juni

Mehr

Java Einführung Objektorientierte Grundkonzepte

Java Einführung Objektorientierte Grundkonzepte Java Einführung Objektorientierte Grundkonzepte Inhalt Verständnis der grundlegenden Konzepte der Objektorientierung: Objekte Nachrichten Kapselung Klassen und Instanzen Vererbung Polymorphismus Darstellung

Mehr

22. Januar Gruppe 2: TOPCASED

22. Januar Gruppe 2: TOPCASED 22. Januar 2008 Aufgabenstellung Modellgetriebene Softwareentwicklung auf Basis von am Beispiel eines Seminarverwaltungssystems Ziel Entwicklungsprozess Anforderungen & Codegenerierung Modellierung & Templates

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Digitales Hardwaredesign mit VHDL und FPGAs

Digitales Hardwaredesign mit VHDL und FPGAs TAE Technische Akademie Esslingen Ihr Partner für Weiterbildung seit 60 Jahren! In Zusammenarbeit mit dem VDE-Bezirksverein Württemberg e.v. (VDE) Maschinenbau, Produktion und Fahrzeugtechnik Tribologie

Mehr

1.4 Spezifikation. Inhalte einer. Spezifikation

1.4 Spezifikation. Inhalte einer. Spezifikation 1.4 Spezifikation Spezifikation Inhalte einer Spezifikation Beispielspezifikation Ampelsteuerung Formale Beschreibung Blockdiagramme... für die Ampel Zustandsübergangsdiagramme... für die Ampel Task-Flow-Graphen...

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Grundlagen der Technischen Informatik. Rechnertechnologieentwicklung. Kapitel 1.2. Historie. Historie. Historie. Der erste Computer

Grundlagen der Technischen Informatik. Rechnertechnologieentwicklung. Kapitel 1.2. Historie. Historie. Historie. Der erste Computer Der erste Computer Rechnertechnologieentwicklung Kapitel 1.2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Babbages Differenzenrechenmaschine (1832) 25.000 Teile Preis:

Mehr

Schrittweise vorgestellt

Schrittweise vorgestellt 3 MBSE Lehrstuhl für Raumfahrttechnik Schrittweise vorgestellt Was erwartet mich in diesem Kapitel? Erläuterung der MBSE-Methodologie anhand der durchgängigen Beispielmission MOVE Modellierung von Anwendungsfällen

Mehr

Musterlösung 3. Mikroprozessor & Eingebettete Systeme 1

Musterlösung 3. Mikroprozessor & Eingebettete Systeme 1 Musterlösung 3 Mikroprozessor & Eingebettete Systeme 1 WS214/215 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tief ergehende Kenntnis zu vermitteln; sie sollen lediglich den Einstieg

Mehr

Digitales Hardwaredesign mit VHDL und FPGAs

Digitales Hardwaredesign mit VHDL und FPGAs TAE Technische Akademie Esslingen Ihr Partner für Weiterbildung In Zusammenarbeit mit dem VDE-Bezirksverein Württemberg e.v. (VDE) Maschinenbau, Fahrzeugtechnik und Tribologie Mechatronik und Automatisierungstechnik

Mehr

Der Lego NXT Roboter. Hochschule Mannheim Fakultät für Informatik

Der Lego NXT Roboter. Hochschule Mannheim Fakultät für Informatik Der Lego NXT Roboter Hochschule Mannheim Fakultät für Informatik Der NXT-Stein Technische Daten 32-bit ARM7 Microcontroller 256 Kbytes FLASH, 64 Kbytes RAM 8-bit AVR microcontroller 4 Kbytes FLASH, 512

Mehr

IT-Systeme. Ein nach Aufgabe oder Wirkung abgrenzbares

IT-Systeme. Ein nach Aufgabe oder Wirkung abgrenzbares Funktionseinheit (functional unit) DIN 44300 Ein nach Aufgabe oder Wirkung abgrenzbares Gebilde. Anmerkung: Ein System von Funktionseinheiten kann in einem gegebenen Zusammenhang wieder als eine Funktionseinheit

Mehr

UML-Basics: Einführung in Objekt- Orientierte Modellierung mit der Unified Modeling Language

UML-Basics: Einführung in Objekt- Orientierte Modellierung mit der Unified Modeling Language UML-Basics: Einführung in Objekt- Orientierte Modellierung mit der Unified Modeling Language ADV-Seminar Leiter: Ziel dieses Seminars Verständnis von Objekt-Orientierung Was sind Klassen? Was ist Vererbung?

Mehr

Kernprozess zur System- und Softwareentwicklung. Logische Systemarchitektur f 1. f 2 f 3. f 4 Funktion. Technische Systemarchitektur SG 1 SG 2 SG 3

Kernprozess zur System- und Softwareentwicklung. Logische Systemarchitektur f 1. f 2 f 3. f 4 Funktion. Technische Systemarchitektur SG 1 SG 2 SG 3 Systems Engineering Systems Engineering ist die gezielte Anwendung von wissenschaftlichen und technischen Ressourcen! zur Transformation eines operationellen Bedürfnisses in die Beschreibung einer Systemkonfiguration

Mehr

Electronic Design Automation (EDA) Entwurfseingabe

Electronic Design Automation (EDA) Entwurfseingabe Electronic Design Automation (EDA) Entwurfseingabe Entwurfseingabe Systemebene...SystemC...SystemVerilog RT-Ebene...Verilog...VHDL Gatterebene...Gatternetzliste...Schematic Entry Elektrische Ebene/ Strukturelle

Mehr

X Inhaltsverzeichnis 2.7 StrukturorientierteModelle Komponenten-Verbindungsdiagramm (CCD) HeterogeneModelle Kontr

X Inhaltsverzeichnis 2.7 StrukturorientierteModelle Komponenten-Verbindungsdiagramm (CCD) HeterogeneModelle Kontr 1 Einleitung... 1 1.1 Motivation... 1 1.2 Entwurfsmethodik..... 7 1.2.1 Erfassenundsimulieren... 7 1.2.2 Beschreibenundsynthetisieren... 8 1.2.3 Spezifizieren,explorierenundverfeinern... 9 1.3 Abstraktion

Mehr

Universität Karlsruhe (TH)

Universität Karlsruhe (TH) Universität Karlsruhe (TH) Forschungsuniversität gegründet 1825 Kapitel 2 Die Definitionsphase Prof. Walter F. Tichy Wo sind wir gerade? Planung Lastenheft (funktionales Modell) Definition (Analyse) Pflichtenheft

Mehr

ASIC-SYNTHESE DER SHAP-MIKROARCHITEKTUR

ASIC-SYNTHESE DER SHAP-MIKROARCHITEKTUR Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur ASIC-SYNTHESE DER SHAP-MIKROARCHITEKTUR Vortrag zum großen Beleg Andrej Olunczek Andrej.Olunczek@mailbox.tu-dresden.de

Mehr

Objektorientiertes Programmieren

Objektorientiertes Programmieren JL Ute Claussen Objektorientiertes Programmieren Mit Beispielen und Übungen in C++ Zweite, überarbeitete und erweiterte Auflage Mit 24 Abbildungen Springer Inhaltsverzeichnis 1 Einleitung 1 1.1 Was ist

Mehr

Organisatorisches (1)

Organisatorisches (1) Organisatorisches (1) Abteilung Echtzeitsysteme und Kommunikation Prof. Dr. Nett nett@ivs.cs.uni-magdeburg.de Sekretariat: Frau Duckstein, Tel. 67-18345 pduckste@ivs.cs.uni-magdeburg.de Gebäude 29/ Etage

Mehr

Notationen zur Prozessmodellierung

Notationen zur Prozessmodellierung Notationen zur Prozessmodellierung August 2014 Inhalt (erweiterte) ereignisgesteuerte Prozesskette (eepk) 3 Wertschöpfungskettendiagramm (WKD) 5 Business Process Model and Notation (BPMN) 7 Unified Modeling

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Kapitel 1 1 Einleitung

Kapitel 1 1 Einleitung Kapitel 1 Einleitung 1 1 1 Einleitung 1 Einleitung Die Informatik begegnet uns im Alltag ständig. Einmal natürlich als Rechenanlagen, die wir in Büros, Arztpraxen und zu Hause sehen. Zum anderen ist sie

Mehr

DOORS Schema IBM Rational DOORS Start-Up Training - Teil 3

DOORS Schema IBM Rational DOORS Start-Up Training - Teil 3 DOORS Schema IBM Rational DOORS Start-Up Training - Teil 3 Inhalt: Anforderungen an ein Schema Design eines Schemas Schrittweises Vorgehen Strukturierung und Design der Daten in DOORS Voraussetzung für

Mehr

Objektorientierte Analyse und Design mit der Unified Modelling Language (UML) Sandra Meißl

Objektorientierte Analyse und Design mit der Unified Modelling Language (UML) Sandra Meißl Objektorientierte Analyse und Design mit der Unified Modelling Language (UML) Sandra Meißl 26.07.21 Themenübersicht Objektorientierte Software-Entwicklung Objektorientierte Analyse und Design OOA OOD Objektorientierte

Mehr

Grundlagen der Programmentwurfstechnik Fundamentals of Software Engineering 1

Grundlagen der Programmentwurfstechnik Fundamentals of Software Engineering 1 Vorlesung 3 Fundamentals of Software Engineering 1 Inhaltsverzeichnis 1. Einführung 2. Allgemeine Modellbildung - Klassische Konzepte des Software Engineering- 2.1 Das Kontextmodell 2.2 Entscheidungstabellen

Mehr

Praktische Informatik I

Praktische Informatik I Praktische Informatik I WS 2005/2005 Prof. Dr. Wolfgang Effelsberg Lehrstuhl für Praktische Informatik IV Universität Mannheim 1. Einführung 1-1 Inhaltsverzeichnis (1) 1. Einführung 1.1 Was ist Informatik?

Mehr

Softwaretechnik (Allgemeine Informatik) Überblick

Softwaretechnik (Allgemeine Informatik) Überblick Softwaretechnik (Allgemeine Informatik) Überblick 1 Einführung und Überblick 2 Abstraktion 3 Objektorientiertes Vorgehensmodell 4 Methoden der Anforderungs- und Problembereichsanalyse 5 UML-Diagramme 6

Mehr

Modellierung verteilter Systeme Grundlagen der Programm und Systementwicklung

Modellierung verteilter Systeme Grundlagen der Programm und Systementwicklung Modellierung verteilter Systeme Grundlagen der Programm und Systementwicklung Sommersemester 2012 Prof. Dr. Dr. h.c. Manfred Broy Unter Mitarbeit von Dr. M. Spichkova, J. Mund, P. Neubeck Lehrstuhl Software

Mehr

Objektorientierte Programmierung (OOP)

Objektorientierte Programmierung (OOP) orientierte Programmierung (OOP) 1. Motivation Die objektorientierte Sichtweise der Welt Als Motivation für die OOP sieht man sich am besten die reale Welt an: Die reale Welt besteht aus "en", z. B.: Gegenstände,

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

wenige Konzepte, keine Adressen, Anlehnung an C++ -Syntax Vererbung, Polymorphie/dynamisches Binden, umfangreiche Klassenbibliotheken

wenige Konzepte, keine Adressen, Anlehnung an C++ -Syntax Vererbung, Polymorphie/dynamisches Binden, umfangreiche Klassenbibliotheken 1 Java ist... gut erlernbar wenige Konzepte, keine Adressen, Anlehnung an C++ -Syntax objektorientiert Vererbung, Polymorphie/dynamisches Binden, umfangreiche Klassenbibliotheken robust keine Adressen,

Mehr

Modellgetriebene Entwicklungsprozesse in der Praxis - eine Bestandsaufnahme. Tillmann Schall, anaptecs GmbH

Modellgetriebene Entwicklungsprozesse in der Praxis - eine Bestandsaufnahme. Tillmann Schall, anaptecs GmbH Modellgetriebene Entwicklungsprozesse in der Praxis - eine Bestandsaufnahme Tillmann Schall, anaptecs GmbH : Agenda Grundlagen modellgetriebener Entwicklungsprozesse Schritte zur Einführung Erfahrungen

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr