Kombinatorische Logik, Schaltalgebra

Größe: px
Ab Seite anzeigen:

Download "Kombinatorische Logik, Schaltalgebra"

Transkript

1 Lothar Müller euth Hochschule erlin 1 Logische Zustände In der Digitaltechnik werden Informationen oder Signale verwendet, die nur 2 Zustände annehmen können. Mathematisch kennzeichnen wir sie unter Verwendung des inärsystems mit den beiden inärziffern 0 und 1; logisch beschreiben wir sie als logische Zustände falsch und wahr normalen digitalen System kann z.. so aussehen: Die Zuordnung physikalische Größe logischer Zustand in einem (engl.: false / true). Spannung ein (HIGH): true In der technischen Realisierung werden diese logischen Zustände durch physikalische Größen dargestellt, in der Digitalelektronik insbesondere durch die elektrischen Größen Spannung oder Strom. Die Spannungen bzw. Ströme werden dabei im llgemeinen nicht in absoluten Werten angegeben; stattdessen spricht man von LOW und HIGH und meint damit eindeutig definierte Wertebereiche der Spannungen bzw. Ströme. Diesen Wertebereichen werden dann die logischen Zustände zugeordnet. Die Zuordnung ist grundsätzlich frei wählbar; in der Praxis wird sie unter erücksichtigung vieler Parameter, die hier nicht näher betrachtet werden können, definiert (s. Kasten). 2 Kombinatorische Logik 2.1 egrifflichkeiten Ein digitales (oder: logisches) System besitzt einen oder mehrere Eingänge sowie einen oder mehrere usgänge. Kennzeichnend für die hier behandelten kombinatorischen Systeme ist, dass in einem gegebenen System der Zustand der usgänge ausschließlich vom Zustand der Eingänge abhängt. Die Kombinatorik des Systems stellt die logische Funktion dar, so dass für jeden usgang x (mit 0 x n) des Systems gilt: x = f (X 0, X 1, X 2,..., X m ) Solange die Eingangsinformationen einer solchen kombinatorischen Logikschaltung unverändert bleiben, ändern sich auch die usgangszustände nicht. Treten dagegen X 0 X 1 X 2 X m Spannung aus (LOW): false. Ebenso gut kann aber auch festgelegt werden: Spannung ein (HIGH): false Spannung aus (LOW): true. Im zweiten Fall spricht man von negativer Logik. Sie ist der positiven völlig gleichwertig und wird auch oft angewendet. In einem typischen digitalen System, wie es auch in unserem Labor verwendet wird, beträgt die Versorgungsspannung 5V. Spannungswerte zwischen 0V und 0.8V sind als LOW definiert, Werte zwischen 2V und 5V als HIGH. Werte zwischen 0.8V und 2V sind nicht definiert; bei ihnen lässt sich nicht sicher voraussagen, ob ein logischer Eingang sie als LOW oder HIGH interpretieren würde. Deshalb sind sie unbedingt zu vermeiden! nmerkung: Moderne digitale Systeme arbeiten oft mit Spannungen <5V. ei ihnen sind für LOW und HIGH andere Grenzwerte definiert. Es existiert aber immer ein ereich zwischen LOW und HIGH, innerhalb dessen die Pegel als nicht definiert gelten. logisches System Änderungen an den Eingängen auf, wirken sie sich (in bhängigkeit der jeweils vorliegenden logischen Funktion) sofort auf die usgänge aus. Theoretisch gibt es dabei keinerlei bhängigkeit von der Zeit; in realen Systemen treten geringfügige zeitliche Verzögerungen auf. Sie können je nach verwendeter Technologie und Umfang des Systems zwischen einigen 100 ps und mehr als 100 ns liegen (ns [Nanosekunden]: 10-9 s; ps [Picosekunden]: s). Digitale Systeme der beschriebenen rt heißen Schaltnetze. nmerkung: Wir werden später eine andere Form von logischen Systemen kennenlernen, bei denen das Verhalten der usgänge zusätzlich von zeitlichen edingungen abhängt. Diese heißen Schaltwerke. nmerkung: lle digitalen Systeme können auf die nwendung von Schaltnetzen und Schaltwerken zurückgeführt werden n File: KombinatorischeLogik.doc Seite 1 von 11

2 2.2 Darstellung Es existieren verschiedene Möglichkeiten, die Funktionen kombinatorischer Logik darzustellen: - tabellarische Darstellung ( Wahrheitstabelle ) - mathematische (algebraische) eschreibung - grafische bzw. symbolische Darstellung. ußerdem existieren innerhalb dieser Möglichkeiten der Darstellung teilweise unterschiedliche Notationen. Eine ausführlichere eschreibung folgt in bschnitt Elementare logische Verknüpfungen Digitale Eingangssignale können auf vielfältige rt logisch verknüpft werden. lle diese Verknüpfungen können jedoch auf einige wenige zurückgeführt werden, die wir elementare logische Verknüpfungen nennen. Wirklich elementar im Sinn des Wortes sind nur die drei Funktionen NOT, ND und OR. us Ihnen können alle denkbaren digitalen Systeme aufgebaut werden. In der realen Informationstechnik werden jedoch meist auch die vier weiteren Funktionen NND, NOR, EXOR und EXNOR als elementar bezeichnet. Diese 7 Funktionen wollen wir im folgenden kennenlernen. Dazu werden die einzelnen Funktionen kurz beschrieben und jeweils ihre Wahrheitstabelle, ihre Gleichung sowie ihr Schaltsymbol angegeben. Dabei wird positive Logik zugrunde gelegt. Eingänge werden üblicherweise mit den nfangsbuchstaben des lphabets bezeichnet, usgänge mit den am Ende des lphabets angeordneten; dabei wird jedoch der uchstabe Z ausgespart. Weitergehende ngaben, insbesondere zu den verschiedenen algebraischen Notationen finden sich in der Tabelle auf Seite NOT-Funktion (Invertierung) Die NOT-Funktion (Invertierung), auch Inversion oder Negation genannt, ist die einfachste elementare logische Funktion. Sie bildet genau einen Eingang auf genau einen usgang in der Form ab, dass der usgang immer den umgekehrten logischen Zustand des Eingangs aufweist. NOT-Funktion: = = ' ND-Funktion (Konjunktion) Die ND-Funktion (Konjunktion) verknüpft 2 oder mehr Eingangsvariable so, dass der usgang nur dann wahr ist, wenn alle Eingänge wahr sind; sobald auch nur ein Eingang unwahr ist, ist der usgang ebenfalls unwahr. ND-Funktion mit 2 Eingängen: = = & File: KombinatorischeLogik.doc Seite 2 von 11

3 2.3.3 OR-Funktion (Disjunktion) Die OR-Funktion (Disjunktion) verknüpft 2 oder mehr Eingangsvariable so, dass der usgang immer dann wahr ist, wenn mindestens ein Eingang wahr ist; nur wenn alle Eingänge unwahr sind, ist der usgang ebenfalls unwahr. OR-Funktion mit 2 Eingängen: = = NND-Funktion Die NND-Funktion (aus Not und ND, Konjunktion mit Invertierung) verknüpft 2 oder mehr Eingangsvariable so, dass der usgang nur dann unwahr ist, wenn alle Eingänge wahr sind; sobald auch nur ein Eingang unwahr ist, ist der usgang wahr. NND-Funktion mit 2 Eingängen: = ( ) = ( )' & NOR-Funktion Die NOR-Funktion (aus Not und OR, Disjunktion mit Invertierung) verknüpft 2 oder mehr Eingangsvariable so, dass der usgang immer dann unwahr ist, wenn mindestens ein Eingang wahr ist; nur wenn alle Eingänge unwahr sind, ist der usgang wahr. NOR-Funktion mit 2 Eingängen: = ( ) = ( + )' 1 File: KombinatorischeLogik.doc Seite 3 von 11

4 2.3.6 EXOR-Funktion (ntivalenz) Die EXOR-Funktion (aus Exclusiv und OR, ausschließendes OR) ist nur für 2 Eingangsvariable definiert und verknüpft diese so, dass der usgang immer dann wahr ist, wenn genau ein Eingang wahr ist; sind dagegen kein Eingang oder beide Eingänge wahr, ist der usgang unwahr. Die EXOR-Funktion wird also genau dann wahr, wenn die beiden Eingänge ungleich sind, d.h. gegensätzliche Werte aufweisen. Deshalb wird sie auch als ntivalenz bezeichnet. EXOR-Funktion: = = $ = EXNOR-Funktion (Äquivalenz) Die EXNOR-Funktion (aus Exclusiv und NOR, ausschließendes OR mit Invertierung) ist wie die EXOR-Funktion nur für 2 Eingangsvariable definiert. Sie verknüpft diese so, dass der usgang immer dann unwahr ist, wenn genau ein Eingang wahr ist; sind dagegen kein Eingang oder beide Eingänge wahr, ist der usgang ebenfalls wahr. Sie stellt damit die Invertierung der EXOR-Funktion dar. Da die EXNOR-Funktion genau dann wahr wird, wenn die beiden Eingänge gleich sind, wird sie auch als Äquivalenz bezeichnet. EXNOR-Funktion: = = ( $ )' = Zusammenfassende Darstellung In der auf der nächsten Seite wiedergegebenen Tabelle sind alle beschriebenen logischen Grundfunktionen zusammengefasst. Dort sind auch weitere Notationen für die einzelnen Funktionen angegeben, ohne dass diese einen nspruch auf Vollständigkeit erheben würden. Ursprünglich wurden zur eschreibung logischer Funktionen die mathematischen Zeichen (,,, und ) verwendet. Mit Einführung der Computer entstand aber der Wunsch, auf der Standardtastatur vorhandene Zeichen verwenden zu können. Dabei wurden von verschiedenen utoren bzw. Gruppen für verschiedene nwendungen unterschiedliche Zeichen eingeführt, so dass keine einheitliche Notation existiert. Die verbreitetsten sind in der Tabelle wiedergegeben. File: KombinatorischeLogik.doc Seite 4 von 11

5 Verknüpfung Kurzform Wahrheitstabelle Gleichung Notation(en); eispiel(e) Invertierung (Inversion, Negation) Konjunktion (Verundung) NOT = ; , ;, /,!; /,! ND = ; ; &, &&; &, && Disjunktion (Veroderung) OR = ; +; + #,, ; #,, Konjunktion mit Invertierung Disjunktion mit Invertierung ntivalenz (Exklusiv-Oder) Äquivalenz (Exklusiv-Oder mit Invertierung) NND = ( ) ( ) NOR = ( ) ( ) EXOR = ; $; $ ^; ^ EXNOR = ; ( ), /( & ),!( && ) ( + ), + /( # ),!( ) /( $ )!( ^ ) Tab. 1: Elementare logische Funktionen (Zusammenfassung). File: KombinatorischeLogik.doc Seite 5 von 11

6 3 Schaltalgebra (oolesche lgebra) 3.1 Entstehung und Zielsetzung Die Grundlagen zur Entwicklung einer lgebra der Logik legte der englische Mathematiker George oole, der bereits 1847 eine rbeit über die mathematische nalyse logischer Problemstellungen veröffentlichte. Noch im 19. Jahrhundert erfolgten Erweiterungen, u.a. durch ugustus de Morgan. Im Jahr 1938 (es waren gerade die ersten Computer in der Entwicklung) veröffentlichte der merikaner Claude Elwood Shannon eine rbeit über die nwendung der ooleschen lgebra beim Entwurf elektronischer logischer Schaltkreise. Die Zielsetzung dabei war, logische ufgabenstellungen eindeutig beschreiben zu können und ihre Realisierung in bestmöglicher Vereinfachung vornehmen zu können. Denn Hardware war zu dieser Zeit extrem teuer und auch fehleranfällig. In den Folgejahren befassten sich weitere Wissenschaftler und Entwickler mit diesem Thema und erarbeiteten dabei u.a. verschiedene Verfahren zur Vereinfachung logische Funktionen; einige davon werden uns noch beschäftigen. 3.2 xiome und Theoreme der Schaltalgebra Wie in der normalen, also der numerischen Mathematik unterscheidet man auch in der Schaltalgebra xiome und Theoreme. xiome sind Grundannahmen, die nicht weiter bewiesen werden müssen; Theoreme sind Schlussfolgerungen, die aus xiomen (und weiteren Theoremen) hergeleitet werden können xiome der Schaltalgebra In der Schaltalgebra existieren 3 xiome (wenn wir die Invertierung außer cht lassen). Sie betreffen elementare logische Funktionen, die wir bereits kennengelernt haben: - OR: 0, wenn alle x=0, sonst 1; spez.: 0 0 = 0, 0 1 = 1 0 = 1 1 = 1 - ND: 1, wenn alle x=1, sonst 0; spez.: 0 0 = 0 1 = 1 0 = 0, 1 1 = 1 - EXOR: keine allgemeine Form angebbar (kann 0 0 = 1 1 = 0, nur für 2 Variable angegeben werden); 0 1 = 1 0 = Theoreme der Schaltalgebra us den xiomen können verschiedene Theoreme bzw. Gesetze der Schaltalgebra abgeleitet werden. Sie sind im folgenden dargestellt Verknüpfungen mit 0 Die folgenden Verknüpfungen mit 0 ergeben sich direkt aus den elementaren logischen Verknüpfungen. Es gilt: 0 X = X ein beliebiger logischer Wert verodert mit 0 ergibt sich selbst; 0 X = 0 ein beliebiger logischer Wert verundet mit 0 ergibt Verknüpfungen mit 1 uch die folgenden Verknüpfungen mit 1 ergeben sich direkt aus den elementaren logischen Verknüpfungen. Es gilt: 1 X = 1 ein beliebiger logischer Wert verodert mit 1 ergibt 1; 1 X = X ein beliebiger logischer Wert verundet mit 1 ergibt sich selbst. File: KombinatorischeLogik.doc Seite 6 von 11

7 Verknüpfungen mit sich selbst Es gelten die folgenden Verknüpfungen mit sich selbst: X X = X ein beliebiger logischer Wert verodert mit sich selbst ergibt sich selbst; X X = X ein beliebiger logischer Wert verundet mit sich selbst ergibt sich selbst; X X' = 1 ein beliebiger logischer Wert verodert mit seinem Inversen ergibt 1; X X' = 0 ein beliebiger logischer Wert verundet mit seinem Inversen ergibt Kommutativgesetz Es gilt das Kommutativgesetz (Vertauschungsgesetz). Es besagt: X1 X2 = X2 X1 bei der Veroderung zweier Werte ist deren Reihenfolge ohne elang; X1 X2 = X2 X1 bei der Verundung zweier Werte ist deren Reihenfolge ohne elang. Diese Gesetzmäßigkeit kennen wir auch aus der numerischen Mathematik, wo sie zum eispiel bei der ddition und der Multiplikation gilt (nicht jedoch bei Subtraktion und Division!) ssoziativgesetz Es gilt das ssoziativgesetz (Verbindungsgesetz). Es besagt: (X1 X2) X3 = X1 (X2 X3) = X1 X2 X3 bei der Veroderung von mehr als 2 Werten ist das Setzen von Klammern ohne elang, sie können entfallen; (X1 X2) X3 = X1 (X2 X3) = X1 X2 X3 entsprechendes gilt bei der Verundung. uch diese Gesetzmäßigkeit kennen wir von ddition und Multiplikation aus der numerischen Mathematik. Wichtig: Das ssoziativgesetz gilt nur, solange einheitliche logische Operatoren verwendet werden. ei gemischter nwendung von ND und OR kann es nicht angewendet werden! Distributivgesetz Es gilt das Distributivgesetz (Verteilungsgesetz). Es besagt: (X1 X2) X3 = (X1 X3) (X2 X3) Klammern in gemischten usdrücken können durch (X1 X2) X3 = (X1 X3) (X2 X3) usmultiplizieren aufgelöst werden. uch das Distributivgesetz hat seine Entsprechung in der numerischen Mathematik bsorptionsgesetze Es gelten die bsorptionsgesetze (Vereinfachungsgesetze). Sie haben keine Entsprechung in der numerischen Mathematik. Es gilt: X1 (X1 X2) = X1 eweis: für X1=0 gilt: 0 (0 X2) = 0 0 = 0 für X1=1 gilt: 1 (1 X2) = 1 X2 = 1 X1 (X1 X2) = X1 eweis: für X1=0 gilt: 0 (0 X2) = 0 X2 = 0 für X1=1 gilt: 1 (1 X2) = 1 1 = 1 X1 (X1 X2) = X1 X2 eweis: = (X1 X1 ) (X1 X2) = 1 (X1 X2) = (X1 X2) X1 (X1 X2) = X1 X2 eweis: = (X1 X1 ) (X1 X2) = 0 (X1 X2) = (X1 X2) File: KombinatorischeLogik.doc Seite 7 von 11

8 Inversionsgesetze Die Inversionsgesetze sind in der ooleschen lgebra von zentraler edeutung! Sie haben keine Entsprechung in der numerischen Mathematik. Das Inversionsgesetz von de Morgan (de Morgan sches Gesetz) besagt: (X1 X2... Xn) = (X1 X2... Xn ) (X1 X2... Xn) = (X1 X2... Xn ) Eine aus der Verundung beliebig vieler Variablen bestehende Funktion kann invertiert werden, indem alle Operanden invertiert und die ND- durch OR-Funktionen ersetzt werden. Eine aus der Veroderung beliebig vieler Variablen bestehende Funktion kann invertiert werden, indem alle Operanden invertiert und die OR- durch ND-Funktionen ersetzt werden. Das Satz von Shannon formuliert allgemeiner: F (X1, X2,..., Xn,, ) = F (X1, X2,..., Xn,, ) Eine aus logischen Verknüpfungen beliebig vieler Variablen bestehende Funktion kann invertiert werden, indem alle Operanden invertiert und alle Operatoren durch ihre ntipoden ersetzt werden (also ND- durch OR-Funktionen und umgekehrt); Klammern bleiben dabei unverändert. nmerkung: Wir werden noch sehen, dass viele der genannten Gesetze, insbesondere aber der Satz von Shannon eine große edeutung bei der Umwandlung und Vereinfachung logischer Funktionen haben. 3.3 Präferenz der Operatoren Verschiedene Operatoren besitzen unterschiedliche Präferenzen. Dabei werden drei Stufen unterschieden: 1. Höchste Präferenz: NOT 2. Mittlere Präferenz: OR, ND, NOR, NND 3. Niedrigste Präferenz: EXOR, EXNOR. Innerhalb der genannten Gruppen gibt es eigentlich keine weitere Präferenzbildung; hier wird eine Gleichung wie gewohnt von links nach rechts gelöst. Daraus folgt, dass in der booleschen lgebra bei Kombinationen von (N)NDs und (N)ORs - und dies ist die bei weitem häufigste Form - regelmäßig Klammern gesetzt werden müssen. Es ist jedoch durchaus üblich, in nlehnung an die numerische Mathematik ( Punkt- vor Strichrechnung ) (N)NDs eine höhere Priorität als (N)ORs zuzuweisen und entsprechend Klammern einzusparen. Oft wird diese Regel auch auf die Fälle beschränkt, in denen die numerischen Symbole (, + ) verwendet werden, während die booleschen Symbole (, ) als gleichberechtigt angesehen werden. Grundsätzlich sollte man lieber zu viele als zu wenige Klammern setzen, um Unklarheiten von vorneherein auszuschließen! File: KombinatorischeLogik.doc Seite 8 von 11

9 4 Darstellung logischer Funktionen 4.1 Übersicht Logische Funktionen können in verschiedener Form dargestellt werden. Wir unterscheiden die Darstellung in Form - einer Wahrheitstabelle (truth table), - einer algebraischen (booleschen) Gleichung, - einer Grafik. 4.2 Wahrheitstabelle Zu verschiedenen Kombinationen der Eingangsvariablen wird die Funktion der usgangsvariablen angegeben. Die nzahl der Ein- und usgangsvariablen ist unabhängig voneinander und kann grundsätzlich zwischen 1 und n liegen. Eine Wahrheitstabelle heißt vollständig, wenn sie alle möglichen Kombinationen der Eingangsvariablen enthält. Die in bschnitt 2.3 dargestellten Tabellen sind eispiele für vollständige Wahrheitstabellen. Grundsätzlich kann jede kombinatorische logische Funktion als Wahrheitstabelle beschrieben werden. llerdings werden vollständige Wahrheitstabellen mit zunehmender nzahl der Eingangsvariablen schnell unhandlich (bei 10 Eingangsvariablen hat eine vollständige Wahrheitstabelle 2 10 = 1024 Zeilen!). 4.3 lgebraische Darstellung Die algebraische Darstellung gibt die logische Funktion für eine usgangsvariable an. Sie kann z.. aus der Wahrheitstabelle abgeleitet werden. Werden alle Zeilen einer Wahrheitstabelle zusammengefasst, die als usgangswert 1 ergeben, ergibt sich die disjunktive Normalform (DNF) einer logischen Funktion. Ein Minterm ist eine ND-Verknüpfung, die alle Eingangsvariablen entweder in direkter oder in invertierter Form enthält. Jeder Zeile der Wahrheitstabelle entspricht ein Minterm. Ein Minterm, der einer Kombination mit dem usgangswert 1 entspricht, heißt guter Minterm. Ein Minterm, der einer Kombination mit dem usgangswert 0 entspricht, heißt schlechter Minterm. Ein Minterm, der einer Kombination mit dem usgangswert X entspricht, heißt gleichgültiger Minterm. Die disjunktive Normalform ist die OR-Verknüpfung aller guten Minterme einer Wahrheitstabelle. Das Zusammenfassen aller Zeilen der Wahrheitstabelle, die als usgangswert 0 ergeben, ergibt nach Umwandlung der Funktion entsprechend dem Satz von Shannon die konjunktive Normalform (KNF) der logischen Funktion. Ein Maxterm ist eine OR-Verknüpfung, die alle Eingangsvariablen entweder in direkter oder in invertierter Form enthält. Jeder Zeile der Wahrheitstabelle entspricht ein Maxterm. Ein Maxterm, der einer Kombination mit dem usgangswert 0 entspricht, heißt guter Maxterm. Ein Maxterm, der einer Kombination mit dem usgangswert 1 entspricht, heißt schlechter Maxterm. Ein Maxterm, der einer Kombination mit dem usgangswert X entspricht, heißt gleichgültiger Maxterm. Die konjunktive Normalform ist die ND-Verknüpfung aller guten Maxterme einer Wahrheitstabelle. File: KombinatorischeLogik.doc Seite 9 von 11

10 Es gelten die folgenden Grundsätze: Zu jeder Wahrheitstabelle kann die disjunktive Normalform gebildet werden. Jede disjunktive Normalform kann so umgewandelt werden, dass sie durch ausschließliche Verwendung von NND-Funktionen realisiert werden kann. Damit kann jede kombinatorische Logik durch ausschließliche Verwendung von NNDs realisiert werden! Ebenso kann zu jeder Wahrheitstabelle die konjunktive Normalform gebildet werden. Jede konjunktive Normalform kann so umgewandelt werden, dass sie durch ausschließliche Verwendung von NOR-Funktionen realisiert werden kann. Damit kann jede kombinatorische Logik durch ausschließliche Verwendung von NORs realisiert werden! Daraus kann direkt geschlossen werden: Jede durch NND-Glieder realisierte kombinatorische Verknüpfung so umgeformt werden, dass sie durch NOR-Glieder realisiert werden kann (und umgekehrt). 4.4 Grafische Darstellung Die grafische Darstellung ist sehr anschaulich, jedoch auf wenige Variable beschränkt. Ein Venn- Diagramm z.. kann nur für 3 Eingangsvariable sinnvoll dargestellt werden. Grafische Darstellungen spielen bei der Vereinfachung logischer usdrücke eine große Rolle. 5 Vereinfachung logischer Funktionen Die Vereinfachung logischer Funktionen kann algebraisch, grafisch oder schematisch (iterativ) erfolgen. 5.1 lgebraische Vereinfachung Diese erfolgt durch geeignetes Umformen der vorliegenden Gleichung mit dem Ziel, diese zu vereinfachen. Dabei kommen die Regeln der booleschen lgebra zur nwendung. Eine wichtige Regel besagt, dass zwei Terme zu einem Term zusammengefasst werden können, wenn sie sich nur in einer Variablen unterscheiden, und zwar so, dass diese Variable einmal direkt und einmal invertiert vorkommt. Diese Variable entfällt dann. Solche Terme heißen benachbart. Weitere algebraische Vereinfachungsmöglichkeiten können sich z.. ergeben durch geschicktes usklammern, durch Zusammenfassung dafür geeigneter Gleichungsterme oder durch Ersetzen eines Terms durch einen logisch identischen anderen. Generell besteht bei der algebraischen Vereinfachung die Schwierigkeit meist darin, Vereinfachungsmöglichkeiten und die dorthin führenden Rechenschritte zu erkennen. uch können benachbarte Terme oft nicht ohne weiteres identifiziert werden. ußerdem sind gefundene Lösungen oft noch nicht optimal (d.h. es wären weitere Vereinfachungen möglich), ohne dass dies erkannt wird. File: KombinatorischeLogik.doc Seite 10 von 11

11 5.2 Grafische Vereinfachung Grafische Vereinfachungen sollen diesen Nachteil vermeiden. Sie erfolgen meist mittels KV-Diagramm (Karnaugh-Veitch-Diagramm, karnaugh-map). Es basiert darauf, dass benachbarte Terme im Diagramm ebenfalls benachbart erscheinen (also nebeneinander liegen) und deshalb leicht und zuverlässig erkannt werden können. Regeln zur ufstellung eines KV-Diagramms sind: - ildung des KV-Diagramms mit 2 n Feldern (n = nzahl der Eingangsvariablen) - bei gradzahligen n ergibt sich ein Quadrat, bei ungradzahligen ein Rechteck - jedes Feld entspricht einer Zeile der Wahrheitstabelle - jede Eingangsvariable umfasst die halbe Diagrammfläche (d.h. sie ist hier 1) - die Nummerierung der Felder (und damit die Zuordnung zu den Kombinationen der Eingangsvariablen) erfolgt schematisch - dabei werden die Eingangsvariablen den Feldern von unten nach oben und von rechts nach links zugeordnet - damit liegt das Feld 0 immer links oben nmerkung: es gibt alternative Zuordnungsverfahren! - in jedes Feld, das einen guten Minterm repräsentiert, wird eine 1 eingetragen - in jedes Feld, das einen schlechten Minterm repräsentiert, wird eine 0 eingetragen - in jedes Feld, das einen gleichgültigen Minterm repräsentiert, wird ein X eingetragen - Felder mit 1 (und X) werden möglichst großflächig zu löcken zusammengefasst, für die gilt: - sie müssen symmetrisch sein (quadratisch oder rechteckig, keine L-Form) - die nzahl der lockfelder muss eine Potenz von 2 sein (1, 2, 4,...) - sie dürfen keine 0 enthalten - löcke dürfen auch hinten herum zusammengefasst werden - alle Felder mit 1 müssen von mindestens einem lock erfasst sein - Felder mit 1 (und X) dürfen auch von mehreren löcken erfasst werden - die gefundenen löcke werden als Terme in eine logische Gleichung eingebracht. Diese Terme heißen Primterme. Primterme, die mindestens eine 1 als einzige abdecken, heißen notwendige Primterme. Die Veroderung der notwendigen Primterme ergibt die optimal vereinfachte logische Funktion. KV-Diagramme gewährleisten bei logischen Funktionen mit bis zu 4 Eingangsvariablen eine bestmögliche Vereinfachung der Funktion, weil alle benachbarten Terme auch grafisch benachbart sind. ei mehr als 4 Eingangsvariablen gilt das nicht mehr in jedem Fall; vielmehr müssen manche Nachbarn erst gesucht werden, weil sie im Diagramm nicht mehr nebeneinander liegen. Enthält eine logische Funktion mehr als 6 Eingangsvariablen, ist eine effiziente und sichere Vereinfachung per KV-Diagramm kaum noch möglich. Hier hilft die schematische (iterative) Vereinfachung. 5.3 Schematische (iterative) Vereinfachung Diese erfolgt durch das Verfahren nach Quine-McCluskey (QMC-Verfahren). Es ist in einem eigenen Infoblatt Schaltungsvereinfachung nach QuineMcCluskey beschrieben. File: KombinatorischeLogik.doc Seite 11 von 11

Schaltalgebra. Prof. Metzler

Schaltalgebra. Prof. Metzler Schaltalgebra 1 Schaltalgebra (oolsche lgebra) George oole, britischer Mathematiker, 1815-1864 "The mathematical analysis of logic (lgebra zur systematischen ehandlung von Logik) 1847, 1854 1938 leitet

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

2.1 Boole sche Funktionen

2.1 Boole sche Funktionen . Grundlagen digitaler Schaltungen. Boole sche Funktionen Darstellung Boolescher Funktionen. Boole sche lgebra Sätze der Booleschen lgebra.3 Realisierung von Booleschen Funktionen Normalformen zweistufiger

Mehr

4. Anhang Unterschrift

4. Anhang Unterschrift Höhere Technische undes-, Lehr- und Versuchsanstalt (ULME) Graz Gösting Elektrotechnisches Laboratorium Jahrgang: 2004/05 Übungstag:... Name: Schriebl, Forjan, Schuster Gruppe:...... ufgabe: Kombinatorische

Mehr

Kapitel 2. Boolesche Algebra. Prof. Dr. Dirk W. Hoffmann. Hochschule Karlsruhe w University of Applied Sciences w Fakultät für Informatik

Kapitel 2. Boolesche Algebra. Prof. Dr. Dirk W. Hoffmann. Hochschule Karlsruhe w University of Applied Sciences w Fakultät für Informatik Kapitel 2 oolesche lgebra Prof. Dr. Dirk W. Hoffmann Hochschule Karlsruhe w University of pplied Sciences w Fakultät für Informatik Schaltalgebra, und sind Operatoren über der Menge {0,1} a b a b 0 0 0

Mehr

03 Boolesche Algebra. Technische Grundlagen der Informatik

03 Boolesche Algebra. Technische Grundlagen der Informatik 03 Boolesche Algebra Technische Grundlagen der Informatik Automation Systems Group E183-1 Institute of Computer Aided Automation Vienna University of Technology email: tgi@auto.tuwien.ac.at Inhalt Operationen

Mehr

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1 4 Schaltalgebra 4. Axiome; Signale und Schaltfunktionen Der Entwurf einer Digitalschaltung mit vorgegebener Funktion erfordert die Manipulation der verschiedenen Eingangssignale auf eine Weise, die in

Mehr

Logische Aussagen können durch die in der folgenden Tabelle angegebenen Operationen verknüpft werden.

Logische Aussagen können durch die in der folgenden Tabelle angegebenen Operationen verknüpft werden. Logische Operationen Logische ussagen können durch die in der folgenden Tabelle angegebenen Operationen verknüpft werden. ezeichnung Schreibweise (Sprechweise) wahr, genau dann wenn Negation (nicht ) falsch

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

1. Logische Verknüpfungen

1. Logische Verknüpfungen 1. Logische Verknüpfungen 1.1 UND - Verknüpfung Mathematik: X = A Schaltzeichen: A & X Wahrheitstabelle: A X 0 0 0 0 1 0 1 0 0 1 1 1 Am Ausgang eines UND Gliedes liegt nur dann der Zustand 1, wenn an allen

Mehr

1. Boolesche Algebra und Schaltalgebra

1. Boolesche Algebra und Schaltalgebra 1 oolesche lgebra und Schaltalgebra Folie 1 1. oolesche lgebra und Schaltalgebra 1.1 Was ist Informatik? Definition des egriffs Informatik Die Informatik ist die Wissenschaft, die sich mit der systematischen

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Boolesche Algebra (1)

Boolesche Algebra (1) Boolesche Algebra (1) Definition 1: Sei B = Σ 2 = {0,1} das Alphabet mit den Elementen 0 und 1. Seien auf B die 3 Operatoren einer Algebra wie folgt definiert für x,y aus B: x+y := Max(x,y), x y := Min(x,y),

Mehr

Digitalelektronik - Inhalt

Digitalelektronik - Inhalt Digitalelektronik - Inhalt Grundlagen Signale und Werte Rechenregeln, Verknüpfungsregeln Boolesche Algebra, Funktionsdarstellungen Codes Schaltungsentwurf Kombinatorik Sequentielle Schaltungen Entwurfswerkzeuge

Mehr

Einführung in die Boolesche Algebra

Einführung in die Boolesche Algebra Einführung in die Boolesche Algebra Einführung in Boole' sche Algebra 1 Binäre Größe Eine Größe (eine Variable), die genau 2 Werte annehmen kann mathematisch: falsche Aussage wahre Aussage technisch: ausgeschaltet

Mehr

Schaltfunktion, Definition

Schaltfunktion, Definition Schaltfunktion, Definition Sei S = { 0,1}. Dann heißt eine Abbildung f: S n S eine Schaltfunktion. = f(x n-1,x n-2,...,,, ), x n-1, x n-2,...,,, S x i X = (x n-1,x n-2,...,,, ) Eingangsvariable Eingangsvektor

Mehr

Inhalt. Lektion 13: Mini-Digitaltechnik 13. MINI-DIGITALTECHNIK 9

Inhalt. Lektion 13: Mini-Digitaltechnik 13. MINI-DIGITALTECHNIK 9 Inhalt 13. MINI-DIGITLTECHNIK 9 13.1 Logische Verknüpfungen 9 13.1.1 ND-Verknüpfung 9 13.1.2 ufstellung einer Wahrheitstabelle 10 13.1.3 ND-Verknüpfung mit Schalter 11 13.1.4 OR-Verknüpfung 13 13.1.5 NOT-Verknüpfung

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

6. Vorlesung: Minimalformen

6. Vorlesung: Minimalformen 6. Vorlesung: Minimalformen Wiederholung Minterme Maxterme Disjunktive Normalform (DN) Konjunktive Normalform (KN) Minimalformen KV-Diagramme 24..26 fällt aus wegen Dozentenfachexkursion 2 Normalformen

Mehr

Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt.

Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt. 4. Technische Realisierung Sie erinnern sich: Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt. Hier: physikalische Größe = elektrische Spannung

Mehr

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska GETE DIGITAL TECHNIK Hr. Houska CODIERUNG Codes werden dazu verwendet, um Zahlen, Buchstaben und Zeichen in ander Darstellungsformen zu verwenden. So repräsentieren unterschiedliche Codes die verschiedenen

Mehr

Vorkurs Aussagenlogik

Vorkurs Aussagenlogik Vorkurs -- 3. ussagenlogik..25 ussagenlogik Rechnen mit Wahrheitswerten: oder, oder Digitalisieren und erechnen, eweisen erechenbarkeit, eweisbarkeit, Entscheidbarkeit: Vollständigkeit, Widerspruchsfreiheit!

Mehr

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0 Satz von De Morgan A + = A A A + A + A A 0 0 0 1 1 1 1 0 1 1 0 1 1 0 1 0 1 0 0 1 0 1 1 1 0 0 0 0 Transistoren: A U a A 0 0 Vcc 1 0 1 Vcc 1 1 0 Vcc 1 1 1 0 V 0 eispiel: Schaltung zur Erkennung gültiger

Mehr

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen SS 2005 Prof. Dr. Richard Roth 6 SWS SU und Übungen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 1 Literatur zur Vorlesung DD [1] PERNARDS, P..; Digitaltechnik Hüthig, 1992

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Grundschaltungen der Digitaltechnik

Grundschaltungen der Digitaltechnik & >= Grundschaltungen der Digitaltechnik naloge und digitale Signale Ein analoges Signal kann beliebige Spannungswerte annehmen, währenddem ein digitales Signal nur zwei verschiedene Werte annehmen kann.

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 6. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Boolesche Gesetze Boolesche Kürzungsregeln Antivalenz und

Mehr

Vorkurs Mathematik für Informatiker Aussagenlogik -- Thomas Huckle Stefan Zimmer Matous Sedlacek,

Vorkurs Mathematik für Informatiker Aussagenlogik -- Thomas Huckle Stefan Zimmer Matous Sedlacek, Vorkurs Mathematik für Informatiker -- 4 ussagenlogik -- Thomas Huckle Stefan Zimmer Matous Sedlacek, 7..2 ussagenlogik Rechnen mit Wahrheitswerten: oder, oder Objekte, die wir untersuchen, sind jetzt

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

HINWEIS Die Anleitung zur Versuchsdurchführung wird bei diesem Versuch unmittelbar zu Versuchsbeginn ausgegeben.

HINWEIS Die Anleitung zur Versuchsdurchführung wird bei diesem Versuch unmittelbar zu Versuchsbeginn ausgegeben. Fachbereich Elektrotechnik und Informationstechnik Laborpraktikum Schaltungstechnik für WI Prof. M. Hoffmann Grundlagen der Digitaltechnik Teilnehmer: Testat: Studiengang: Set: Datum: Platz: Unterschrift:

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

2. Funktionen und Entwurf digitaler Grundschaltungen

2. Funktionen und Entwurf digitaler Grundschaltungen 2. Funktionen und Entwurf digitaler Grundschaltungen 2.1 Kominatorische Schaltungen Kombinatorische Schaltungen - Grundlagen 1 Grundgesetze der Schaltalgebra UND-Verknüpfung ODER-Verknüpfung NICHT-Verknüpfung

Mehr

Informationsdarstellung

Informationsdarstellung Informationsdarstellung Signale und Logik Grundzüge der Booleschen Algebra Signale und Logik (2) Grundzüge d. Informationstheorie [Logarithmen-Repetitorium] Zahlensysteme und ihre Anwendung Signale und

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik oolesche lgebra und Schaltalgebra Grundlagen der technischen Informatik Kapitel 1 oolesche lgebra und Schaltalgebra Prof. Dr.-Ing. xel Hunger Pascal. Klein, M.Sc. Prof. Dr.-Ing. xel Hunger oolesche lgebra

Mehr

II. Grundlagen der Programmierung

II. Grundlagen der Programmierung II. Grundlagen der Programmierung II.1. Zahlenssteme und elementare Logik 1.1. Zahlenssteme 1.1.1. Ganze Zahlen Ganze Zahlen werden im Dezimalsstem als Folge von Ziffern 0, 1,..., 9 dargestellt, z.b. 123

Mehr

Mathematische Grundlagen I Logik und Algebra

Mathematische Grundlagen I Logik und Algebra Logik und Algebra Dr. Tim Haga 21. Oktober 2016 1 Aussagenlogik Erste Begriffe Logische Operatoren Disjunktive und Konjunktive Normalformen Logisches Schließen Dr. Tim Haga 1 / 21 Präliminarien Letzte

Mehr

Logik (Teschl/Teschl 1.1 und 1.3)

Logik (Teschl/Teschl 1.1 und 1.3) Logik (Teschl/Teschl 1.1 und 1.3) Eine Aussage ist ein Satz, von dem man eindeutig entscheiden kann, ob er wahr (true, = 1) oder falsch (false, = 0) ist. Beispiele a: 1 + 1 = 2 b: Darmstadt liegt in Bayern.

Mehr

Digitalelektronik: Einführung

Digitalelektronik: Einführung Überblick Grundlagen: Spannung, Strom, Widerstand, IV-Kennlinien Elektronische Messgeräte im Elektronikpraktikum Passive Filter Signaltransport im Kabel Transistor Operationsverstärker PI-Regler Sensorik

Mehr

Logik, Mengen und Abbildungen

Logik, Mengen und Abbildungen Kapitel 1 Logik, Mengen und bbildungen Josef Leydold Mathematik für VW WS 2016/17 1 Logik, Mengen und bbildungen 1 / 26 ussage Um Mathematik betreiben zu können, sind ein paar Grundkenntnisse der mathematischen

Mehr

Boolesche (Schalt-) Algebra (1)

Boolesche (Schalt-) Algebra (1) Boolesche (Schalt-) Algebra (1) Definition 1: Sei B = SS 2 = 0,1 das Alphabet mit den Elementen 0 und 1. Seien auf BB die folgenden 3 Operatoren definiert für xx, yy B: xx + yy max xx, yy xx yy min xx,

Mehr

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung 2.3 Logikoptimierung Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik:..Exakte Verfahen..Heuristische Verfahren..Expansion/ Reduktion..Streichen

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

Aussagenlogik. Aussagen und Aussagenverknüpfungen

Aussagenlogik. Aussagen und Aussagenverknüpfungen Aussagenlogik Aussagen und Aussagenverknüpfungen Aussagen sind Sätze, von denen sich sinnvollerweise sagen läßt, sie seien wahr oder falsch. Jede Aussage besitzt also einen von zwei möglichen Wahrheitswerten,

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

Aussagenlogik. Formale Methoden der Informatik WiSe 2012/2013 teil 6, folie 1

Aussagenlogik. Formale Methoden der Informatik WiSe 2012/2013 teil 6, folie 1 Aussagenlogik Formale Methoden der Informatik WiSe 22/23 teil 6, folie Teil VI: Aussagenlogik. Einführung 2. Boolesche Funktionen 3. Boolesche Schaltungen Franz-Josef Radermacher & Uwe Schöning, Fakultät

Mehr

Physikalisches Praktikum für Vorgerückte. an der ETH Zürich. vorgelegt von. Mattia Rigotti Digitale Elektronik

Physikalisches Praktikum für Vorgerückte. an der ETH Zürich. vorgelegt von. Mattia Rigotti Digitale Elektronik Physikalisches Praktikum für Vorgerückte an der ETH Zürich vorgelegt von Mattia Rigotti mrigotti@student.ethz.ch 14.02.2003 Digitale Elektronik Versuchsprotokoll 1 Inhaltverzeichnis 1. Zusammenfassung...

Mehr

Boolesche (Schalt-) Algebra (8)

Boolesche (Schalt-) Algebra (8) Boolesche (Schalt-) Algebra (8) Karnaugh-Diagramm ist eine graphische Technik zur Darstellung und Vereinfachung von Booleschen Ausdrücken ist eine andere, zweidimensionale Darstellung von Wahrheitstabellen

Mehr

11. Beschreiben Sie die disjunktive und die konjunktive Normalform eines logischen Ausdrucks!

11. Beschreiben Sie die disjunktive und die konjunktive Normalform eines logischen Ausdrucks! Kapitel 3 Logik Verständnisfragen Sachfragen 1. Was ist eine logische Aussage? 2. Wie ist die Konjunktion und die Disjunktion definiert? 3. Beschreiben Sie das Exklusive Oder, die Implikation und die Äquivalenz!

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 3 AM 18.11.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Allgemeingültige Aussagen

Allgemeingültige Aussagen Allgemeingültige Aussagen Definition 19 Eine (aussagenlogische) Formel p heißt allgemeingültig (oder auch eine Tautologie), falls p unter jeder Belegung wahr ist. Eine (aussagenlogische) Formel p heißt

Mehr

Anwendung Informatik Daten verwalten (2) Ursprüngliche Information Logische Verknüpfungen als Grundlage für die Informationsgewinnung

Anwendung Informatik Daten verwalten (2) Ursprüngliche Information Logische Verknüpfungen als Grundlage für die Informationsgewinnung Agenda für heute, 20. November 2009 Daten verwalten (2): Drei Stufen der Datenverwaltung Logische Verknüpfungen als Grundlage für die Informationsgewinnung Werte von Aussagen: Wahrheitstabellen Anwendung

Mehr

Kleine lateinische Buchstaben wie z. B. p, q, r, s t, usw.

Kleine lateinische Buchstaben wie z. B. p, q, r, s t, usw. 1.1 Aussagenlogik Grundlagen der Mathematik 1 1.1 Aussagenlogik Definition: Aussage Eine Aussage im Sinne der Logik ist ein formulierter Tatbestand, der sich bei objektiver Prüfung immer eindeutig als

Mehr

Analyse logischer Schaltnetze

Analyse logischer Schaltnetze 2003, Thomas armetler Kippstufen und ähler nalyse logischer Schaltnetze nalyse logischer Schaltnetze eim usammenwirken von mindestens zwei logischen Grundschaltungen spricht man auch von einem logischen

Mehr

Electronic Design Automation (EDA) Logikoptimierung

Electronic Design Automation (EDA) Logikoptimierung Electronic Design Automation (EDA) Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik: Exakte Verfahren... Heuristische Verfahren... Expansion/Reduktion...

Mehr

Aussagenlogik. 1 Einführung. Inhaltsverzeichnis. Zusammenfassung

Aussagenlogik. 1 Einführung. Inhaltsverzeichnis. Zusammenfassung Tobias Krähling email: Homepage: 13.10.2012 Version 1.2 Zusammenfassung Die Aussagenlogik ist sicherlich ein grundlegendes mathematisches Gerüst für weitere

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 3 am 19.11.2010 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der

Mehr

5. Vorlesung: Normalformen

5. Vorlesung: Normalformen 5. Vorlesung: Normalformen Wiederholung Vollständige Systeme Minterme Maxterme Disjunktive Normalform (DNF) Konjunktive Normalform (KNF) 1 XOR (Antivalenz) X X X X X X ( X X ) ( X X ) 1 2 1 2 1 2 1 2 1

Mehr

f ist sowohl injektiv als auch surjektiv.

f ist sowohl injektiv als auch surjektiv. Bemerkungen: Wir erinnern uns an folgende Definitionen: Eine Funktion f : U V heißt injektiv, wenn gilt: ( x, y U)[x y f(x) f(y)] Eine Funktion f : U V heißt surjektiv, wenn gilt: ( y V x U)[y = f(x)]

Mehr

1 Aussagenlogischer Kalkül

1 Aussagenlogischer Kalkül 1 Aussagenlogischer Kalkül Ein Kalkül in der Aussagenlogik soll die Wahrheit oder Algemeingültigkeit von Aussageformen allein auf syntaktischer Ebene zeigen. Die Wahrheit soll durch Umformung von Formeln

Mehr

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50)

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50) Aussagenlogik Formale Methoden der Informatik WiSe 2/2 teil 7, folie (von 5) Teil VII: Aussagenlogik. Einführung 2. Boolesche Funktionen 3. Boolesche Schaltungen Franz-Josef Radermacher & Uwe Schöning,

Mehr

Algorithmen & Programmierung. Logik

Algorithmen & Programmierung. Logik Algorithmen & Programmierung Logik Aussagenlogik Gegenstand der Untersuchung Es werden Verknüpfungen zwischen Aussagen untersucht. Aussagen Was eine Aussage ist, wird nicht betrachtet, aber jede Aussage

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik WS 2013/14 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 21. Oktober 2013 1/33 1 Boolesche

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter Computersysteme 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter 1 Die Einsen im KV-Diagramm werden zu Blöcken maximaler Größe zusammengefasst. Dabei

Mehr

Minimierung von logischen Schaltungen

Minimierung von logischen Schaltungen Minimierung von logischen Schaltungen WAS SIND LOGISCHE SCHALTUNGEN Logische Verknüpfungszeichen: & = Logisches Und-Verknüpfung (Konjunktion). V = Logische Oder-Verknüpfung (Disjunktion). - = Nicht (Negation).

Mehr

Normalformen boolescher Funktionen

Normalformen boolescher Funktionen Normalformen boolescher Funktionen Jeder boolesche Ausdruck kann durch (äquivalente) Umformungen in gewisse Normalformen gebracht werden! Disjunktive Normalform (DNF) und Vollkonjunktion: Eine Vollkonjunktion

Mehr

Logische Äquivalenz. Definition Beispiel 2.23

Logische Äquivalenz. Definition Beispiel 2.23 Logische Äquivalenz Definition 2.22 Zwei aussagenlogische Formeln α, β A heißen logisch äquivalent, falls für jede Belegung I von α und β gilt: Schreibweise: α β. Beispiel 2.23 Aus Folgerung 2.6 ergibt

Mehr

TU9 Aussagenlogik. Daniela Andrade

TU9 Aussagenlogik. Daniela Andrade TU9 Aussagenlogik Daniela Andrade daniela.andrade@tum.de 18.12.2017 1 / 21 Kleine Anmerkung Meine Folien basieren auf den DS Trainer von Carlos Camino, den ihr auf www.carlos-camino.de/ds findet ;) 2 /

Mehr

Grundlagen digitaler Systeme WS12

Grundlagen digitaler Systeme WS12 Grundlagen digitaler Systeme WS12 Binary Decision Diagrams Johann Blieberger 183.580, VU 2.0 Automation Systems Group E183-1 Institute of Computer Aided Automation Vienna University of Technology email:

Mehr

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel:

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: Seite 1 Aufgabe 1 Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: f 1 = a b c d + a b c d + a b c d + a b c d + a

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

1. Grundlagen der Informatik Boolesche Algebra / Aussagenlogik

1. Grundlagen der Informatik Boolesche Algebra / Aussagenlogik 1. Grundlagen der Informatik Boolesche Algebra / Aussagenlogik Inhalt Grundlagen digitaler Systeme Boolesche Algebra / Aussagenlogik Organisation und Architektur von Rechnern Algorithmen, Darstellung von

Mehr

Normalformen von Schaltfunktionen

Normalformen von Schaltfunktionen Disjunktive Normalform (DNF) Vorgehen: 2. Aussuchen der Zeilen, in denen die Ausgangsvariable den Zustand 1 hat 3. Die Eingangsvariablen einer Zeile werden UND-verknüpft a. Variablen mit Zustand 1 werden

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16 Rechnerstrukturen, Teil Vorlesung 4 SWS WS 5/6 Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls-www.cs.tu-.de Übersicht. Organisatorisches 2.

Mehr

1 Mengenlehre. 1.1 Grundbegriffe

1 Mengenlehre. 1.1 Grundbegriffe Dieses Kapitel behandelt Grundlagen der Mengenlehre, die in gewisser Weise am nfang der Mathematik steht und eine Sprache bereitstellt, die zur weiteren Formulierung der Mathematik sehr hilfreich ist.

Mehr

TU5 Aussagenlogik II

TU5 Aussagenlogik II TU5 Aussagenlogik II Daniela Andrade daniela.andrade@tum.de 21.11.2016 1 / 21 Kleine Anmerkung Meine Folien basieren auf den DS Trainer von Carlos Camino, den ihr auf www.carlos-camino.de/ds findet ;)

Mehr

BOOLSCHE ALGEBRA / SCHALTUNGSALGEBRA

BOOLSCHE ALGEBRA / SCHALTUNGSALGEBRA BOOLSCHE ALGEBRA / SCHALTUNGSALGEBRA 1. Digitale Grundschaltungen 1.1 UND/AND-SCHALTUNG 0 0 0 1 0 0 0 1 0 1 1 1 x = a /\ b 1.2 ODER/OR-SCHALTUNG 0 0 0 0 1 1 1 0 1 1 1 1 x = a \/ b NICHT/NOT-SCHALTUNG A

Mehr

Leseprobe. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen ISBN:

Leseprobe. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen ISBN: Leseprobe Gerd Wöstenkühler Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen ISN: 978-3-446-42737-2 Weitere Informationen oder estellungen unter http://www.hanser.de/978-3-446-42737-2

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

Digitaltechnik. KV-Diagramm

Digitaltechnik. KV-Diagramm KV-01 ie unterscheidet sich von der Analogtechnik dahingehend, dass sie nur zwei (Spannungs)Zustände kennt: nämlich 0V (binär 0) oder 5V (binär 1). iese beiden Zustände werden durch verschiedene logische

Mehr

2 Schaltalgebra bzw. Boole sche Algebra *

2 Schaltalgebra bzw. Boole sche Algebra * 9 2 Schaltalgebra bzw. Boole sche Algebra * Die zweiwertige Logik nimmt eine besondere Bedeutung in der Rechnerentwicklung ein, da Daten mit physikalischen Größen besonders gut durch zwei Werte dargestellt

Mehr

Quadratische Gleichungen

Quadratische Gleichungen Einführung und Begriffe Gleichungen, in denen die Unbekannte in der zweiten Potenz vorkommt, heissen quadratische Gleichungen oder Gleichungen zweiten Grades. Beispiele: 4, t 3t, y y y 4, 5z 3z 1 z 4,

Mehr

Logik für Informatiker

Logik für Informatiker Vorlesung Logik für Informatiker 5. Aussagenlogik Normalformen Bernhard Beckert Universität Koblenz-Landau Sommersemester 2006 Logik für Informatiker, SS 06 p.1 Normalformen Definition: Literal Atom (aussagenlogische

Mehr

Störungen in Digitalsystemen

Störungen in Digitalsystemen Störungen in Digitalsystemen Eine Lernaufgabe von Jost Allmeling Betreuer: Markus Thaler Inhalt und Lernziel: Die Studenten erkennen, dass man durch Einfügen von zusätzlichen Gattern Hazards vermeiden

Mehr

Konjunktive und disjunktive Normalformen

Konjunktive und disjunktive Normalformen Konjunktive und disjunktive Normalformen Nachdem gesprochen wurde, wie man Boolesche Terme unter einer Belegung der Variablen interpretiert und dass somit jeder Boolesche Term eine Boolesche Funktion repräsentiert,

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

12 Digitale Logikschaltungen

12 Digitale Logikschaltungen 2 Digitale Logikschaltungen Die Digitaltechnik ist in allen elektronischen Geräte vorhanden (z.b. Computer, Mobiltelefone, Spielkonsolen, Taschenrechner und vieles mehr), denn diese Geräte arbeiten hauptsächlich

Mehr

Informatik I WS 07/08 Tutorium 24

Informatik I WS 07/08 Tutorium 24 Info I Tutorium 24 Informatik I WS 07/08 Tutorium 24 3.2.07 astian Molkenthin E-Mail: infotut@sunshine2k.de Web: http://infotut.sunshine2k.de Organisatorisches / Review is zum 2.2 müssen alle Praxisaufgaben

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

Lineare Gleichungssysteme

Lineare Gleichungssysteme Poelchau-Oberschule Berlin A. Mentzendorff September 2007 Lineare Gleichungssysteme Inhaltsverzeichnis 1 Grundlagen 2 2 Das Lösungsverfahren von Gauß 4 3 Kurzschreibweise und Zeilensummenkontrolle 6 4

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, SS202 Übungsgruppen: Do., 26.04. Mi., 02.05.202 ufgabe : Zahlenumwandlung mittels Tabellenspeicher Konstruieren Sie eine Schaltung,

Mehr

x 4, t 3t, y 2y y 4, 5z 3z 1 2z 4, usw. Jede quadratische Gleichung kann durch elementare Umformungen auf die Form

x 4, t 3t, y 2y y 4, 5z 3z 1 2z 4, usw. Jede quadratische Gleichung kann durch elementare Umformungen auf die Form 14 14.1 Einführung und Begriffe Gleichungen, in denen die Unbekannte in der zweiten Potenz vorkommt, heissen quadratische Gleichungen oder Gleichungen zweiten Grades. Beispiele: 4, t 3t, y y y 4, 5z 3z

Mehr