8. Realisierung von Schaltnetzen mit Gattern

Größe: px
Ab Seite anzeigen:

Download "8. Realisierung von Schaltnetzen mit Gattern"

Transkript

1 8. Realisierung von Schaltnetzen mit Gattern Im Folgenden soll ein kurzer qualitativer Einblick in die physikalische Arbeitsweise von Gattern gegeben werden. Dabei wird dann auch der Sinn des Begriffes Gatterlaufzeit deutlich. Grundlagen Im Folgenden geht es nur um qualitative Merkmale. Die quantitative Beschreibung ist Gegenstand der einschlägigen Vorlesungen. Grundlegend ist dabei das Verständnis für die Leitfähigkeit des Stromes im Grenzbereich zwischen einem p-dotierten und einem n-dotierten Halbleitermaterial, den man als Diode bezeichnet. p n I idealisiert I R Durchlassspannung etwa 0,7 V bei Si < Durchlassspannung: kein Strom I > Durchlassspannung: Diodenspannung = Durchlassspannung; Strom = ( - Durchlassspannung)/R Wenn die Spannung an der Hintereinanderschaltung einer Diode mit einem Widerstand den Wert der Durchlassspannung unterschreitet, fließt kein Strom. Wenn die Spannung den Wert der Durchlassspannung überschreitet, bleibt die Spannung an der Diode beim Wert der Durchlassspannung fiiert und der Strom durch die Schaltung verändert sich gemäß (-Durchlassspannung)/R. Weiterhin ist es wichtig, die Grundfunktionen eines Transistors zu verstehen. Da hier die TTL-Technologie angesprochen werden soll, ist es ein bipolarer Transistor. 42

2 n p n Emitter Kollektor Maßgeblich: Durchlassspannung an Basis-Emitter-Diode << Durchlassspannung: kein Strom vom Kollektor zum Emitter Basis R R V cc >> Durchlassspannung: praktisch kein Widerstand zwischen Kollektor und Emitter Mit diesen grundlegenden Tatsachen kann man die Eigenschaften folgender Schaltung analysieren. Das Bezugspotential sei 0 Volt. Maßgeblich ist die Spannung am zentralen Knoten der Schaltung. Der auf 0 V liegende Eingang erzeugt über R 1 und die Eingangsdiode einen Stromkreis zwischen V cc und diesem Eingang, so dass am Knoten die Durchlassspannung liegt. Die Durchlassspannung liegt auch an der Hintereinanderschaltung der zum Ausgang wirkenden Diode, der Basis-Emitter-Diode von Q 2 und R 3 und wird zwischen der Diode und der Basis-Emitter-Diode aufgeteilt. Das bedeutet, dass die Spannung an der Basis- Emitter-Diode < Durchlassspannung ist. Q 2 ist gesperrt. Da kein Strom durch R 3 fließt, gibt es keine Spannung an R 3 und Q 3 ist auch gesperrt. Das gilt für alle Fälle, in denen an mindestens einem Eingang 0 Volt anliegt. 43

3 Wenn an beiden Eingängen V cc anliegt, wirkt zwischen den Eingängen und V cc eine Spannungsdifferenz von 0 Volt, d.h. die Spannungen an R 1 und an den Dioden der Eingänge sind entgegengesetzt gleich groß. Der Knoten hat immer eine Spannung < V cc, die Dioden sperren. Es fließt kein Strom zu den Eingängen. Aber durch den Pfad von V cc über R 1, die Diode, die Basis-Emitter-Diode von Q 2 und R 3 fließt ein Strom, wobei sich an den Diodenstrecken je die Durchlassspannung einstellt. Q2 ist im Durchlasszustand. An R 3 wird durch den Stromfluss genügend Spannung erzeugt, um auch Q 3 in den Durchlasszustand zu bringen. Folgendes Gatter realisiert genau diese Funktion, aber nicht durch eine Verschaltung von Dioden, sondern durch eine äquivalente Realisierung als Transistor. Die Eingangsschaltung wird als Multiemitter-Transistor realisiert. Wenn der Kollektor des Ausgangstransistors offen ist, muss man ihn außerhalb des ICs mit einem Widerstand mit V cc verbinden, um ein Spannungssignal zu erhalten (open collector Ausgang). Es gibt eine alternative Ausgangsschaltung, in der entweder der obere Transistor Q 3 durchgeschaltet und der untere Transistor Q 4 gesperrt ist oder umgekehrt. Die Ausgangsvariable kann so, wie sie ist, als Eingangsvariable für ein folgendes Gatter verwendet werden. Übung: Begründen Sie durch eine Spannungsanalyse, das Q 2 und Q 3 immer im entgegengesetzten Schaltzustand sind. 44

4 Die Schaltvariablen sollen EingangI A, EingangI B und AusgangO genannt werden. Für jede Schaltvariable soll gelten: V cc = 1, 0 V = 0. EingangI A EingangI B AusgangO V cc = 1 V cc = V = 0 V cc = V = 0 V cc = 1 0 V = 0 V cc = 1 V cc = 1 0 V = 0 0 V = 0 V cc = 1 Damit gilt: AusgangO = EingangIA EingangI B nter den angenommenen Voraussetzungen realisiert das Gatter eine NAND- Verknüpfung. Angenommen, man schaltet zwei open-collector NAND-Gatter am Ausgang über einen Widerstand an V cc. Welche Schaltfunktion ergibt sich dann? Die Ausgangsvariable wird 1, wenn beide Transistoren Q 3 gesperrt sind. Mit der Schaltvariablen Q 3 (durchlässig = 1, gesperrt = 0) gilt: O = Q 31 Q 32 Q 3i ist aber gesperrt, wenn an mindestens einem Eingang 0 V liegt, d.h. wenn gilt: EingangI Ai + EingangI Bi Damit gilt: O = = EingangI A1 + EingangIB1 EingangIA2 + EingangI EingangI EingangI EingangI EingangI A1 A2 B1 B2 B2 Die Schaltung realisiert ein vierfach ND. m aus der Gesamtschaltung ein vierfach NAND zu machen, müsste man noch einen Inverter dahinter schalten. 45

5 Im Folgenden werden auf die gleiche Art zwei Inverter zusammengeschaltet. Übung: Verifizieren Sie wie oben, dass folgende Schaltfunktion gilt: O = EingangI 1 + EingangI 2 Wenn man o durch einen nachgeschalteten Inverter invertiert, erhält man die Schaltvariable, die eine ODER-Verknüpfung der Eingangsvariablen ist. Man kann auf diese Art mehrere Inverter zusammenschalten und erhält eine ODER- Verknüpfung von allen Eingangsvariablen, die man wired OR nennt. Auf diese Art werden z.b. Request-Signale über nur eine einzige Signalleitung zu einer zentralen Koordinator-Schaltung übertragen. Alle bisher besprochenen Merkmale beziehen sich auf nicht dynamische Merkmale. Zu den dynamischen gehört das Merkmal der Gatter-Laufzeit. Die Gatter-Laufzeit ist die Zeit, die ein Gatter braucht, bis die Änderung eines Eingangssignales eine funktionsrichtige Änderung eines Ausgangssignales ergibt. Man nennt die Zeit auch Durchlaufzeit (propagation delay time). Die rsache für die Verzögerung liegt darin, dass das Sperren bzw. Öffnen von Transistoren nicht schlagartig erfolgen kann, weil es das Ausräumen und Neuladen von internen Kapazitäten bedeutet. Entlade- und Neulade-Vorgänge brauchen Zeit. Beim Schaltungsentwurf muss man das natürlich im Sinne kurzer Verzögerungen optimieren. Für die Anwendung kann man nur hoffen, dass die vom Hersteller angegebenen maimalen Durchlaufzeiten auch eingehalten werden. Das Prinzip lässt sich am Inverter zeigen. 46

6 tphl = propagation delay time high to low tplh = propagation delay time low to high Typische Zeiten liegen im Bereich von 20 nsec Damit wurden an einigen Beispielen einige Prinzipien der TTL-Technologie erläutert. Eine gleichwertige beispielhafte Behandlung der MOS-Technologie kann im Rahmen dieser Vorlesung aus Zeitgründen nicht gegeben werden. Realisierung als Programmable Logic Array PLA-ICs geben eine programmierbare Struktur für die Realisierung einer disjunktiven Normalform vor. Sie verfügen über eine gegebene Zahl von ND-Gattern mit einer gegebenen Zahl von Eingabevariablen in positiver und invertierter Form. Die Programmierung einer Verbindungsmatri legt fest, welche Eingänge an einem ND- Gatter konkret wirken sollen. Sie verfügen auch über eine gegebene Zahl von ODER-Gattern. Über eine ODER- Matri können die Ausgangsvariablen der ND-Gatter mit den Eingängen der ODER- Gatter verschaltet werden. Die Programmierung greift an den Kreuzungspunkten der Verbindungsmatrizen ein. An jedem Kreuzungspunkt gibt es ein programmierbares Verbindungselement, das z.b. durch gezieltes Durchbrennen unwirksam gemacht werden kann. Damit kann man die vorgegebenen Verbindungen bei der Programmierung gezielt zerstören, so dass nur die gewünschten übrig bleiben. Beispiel: y 1 y 0 Zuordner 47

7 Programmierbare ND-Matri & & & & & & & & & Programmierbare ODER-Matri >1 >1 y 0 y 1 Realisierung durch Speicher Da einer Schaltfunktion immer die Zuordnung einer Wertetabelle zugrunde liegt, kann man sie auch durch die Zuordnung eines Speicher-ICs realisieren. Ein Speicher-IC realisiert eine Zuordnung, indem er die Adresse als Eingangsvektor und das der Adresse zugeordneten Speicherwort als Ausgangsvektor nimmt. Beispiel: y l.. y 1 y 0 Adress- Dekodierung Als Speicher-ICs kommen z.b. programmierbare EPROMs infrage. Diese haben aber in der Regel eine Zugriffszeit, die ein deutlich Mehrfaches von Gattterdurchlaufzeiten ist. Das bedeutet, dass eine Änderung des Eingangsvektors erst wirksam werden kann, wenn der aktuelle Speicherzugriff beendet und damit ein neuer möglich ist. Will man eine möglichst schnelle Reaktion des Schaltnetzes auf Änderungen am Eingang, dann kommen Speicher nicht infrage. 48

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen SS 2005 Prof. Dr. Richard Roth 6 SWS SU und Übungen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 1 Literatur zur Vorlesung DD [1] PERNARDS, P..; Digitaltechnik Hüthig, 1992

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 22. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Vorbesprechung drittes Labor

Mehr

12. Vorlesung. Logix Schaltungsanalyse Elektrische Schaltelemente Logikschaltungen Diode Transistor Multiplexer Aufbau Schaltungsrealisierung

12. Vorlesung. Logix Schaltungsanalyse Elektrische Schaltelemente Logikschaltungen Diode Transistor Multiplexer Aufbau Schaltungsrealisierung 2. Vorlesung Logix Schaltungsanalyse Elektrische Schaltelemente Logikschaltungen Diode Transistor Multiplexer Aufbau Schaltungsrealisierung Campus-Version Logix. Vollversion Software und Lizenz Laboringenieur

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

6. Konzipierung und Realisierung von Schaltnetzen mit mechanisch einstellbaren Kontakten

6. Konzipierung und Realisierung von Schaltnetzen mit mechanisch einstellbaren Kontakten 6. Konzipierung und Realisierung von Schaltnetzen mit mechanisch einstellbaren Kontakten In der Einführung zur Schaltalgebra wurde schon die Realisierung mit Tastern beschrieben. Die Alternative zu handbetriebenen

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

8. Endstufenschaltungen

8. Endstufenschaltungen 8.1 Einleitung Wie im Kapitel über die Audiotechnik bereits diskutiert, ist es die Aufgabe des Leistungsverstärkers, auch Endstufe genannt, den Innenwiderstand der Schaltung so weit herabzusetzen, dass

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 5 AM 02.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

1 Einfache diskrete, digitale Verknüpfungen

1 Einfache diskrete, digitale Verknüpfungen 1 Einfache diskrete, digitale Verknüpfungen Mit den drei Grund Gattern UND, ODER und Nicht lassen sich alle anderen Gattertypen realisieren! Q = e 1 e 1.1 AND, UND, Konjunktion 2 Die Konjunktion (lateinisch

Mehr

Übertragungskennlinien

Übertragungskennlinien Übertragungskennlinien für H- und L-Pegel für H- und L-Pegel NOT Funktion = /X Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 25 Übertragungskennlinien für H- und L-Pegel für H- und

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 9 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 12. Vorlesung Dr.-Ing. Wolfgang Heenes 6. Juli 2010 TechnischeUniversität Darmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Logikfamilien 2. Die Ausgangsstufen

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 8 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.   WOCHE 8 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 8 AM 11.12.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6 Programmierbare Logik Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6: Programmierbare Logik und VLSI Seite Kapitel 6: Programmierbare Logik

Mehr

4. Übung: PLA & Schaltungen Abteilung Verteilte Systeme, Universität Ulm

4. Übung: PLA & Schaltungen Abteilung Verteilte Systeme, Universität Ulm Technische Informatik I 6 4. Übung: PLA & Schaltungen Technische Informatik I 6 Aufgabe : PAL und PLA a) Eine ganzzahlige Division zweier -it inärzahlen soll mit Hilfe eines PLA realisiert werden. Dabei

Mehr

Der Transistor (Grundlagen)

Der Transistor (Grundlagen) Der Transistor (Grundlagen) Auf dem Bild sind verschiedene Transistoren zu sehen. Die Transistoren sind jeweils beschriftet. Diese Beschriftung gibt Auskunft darüber, um welchen Transistortyp es sich handelt

Mehr

Technische Informatik

Technische Informatik Springer-Lehrbuch Technische Informatik Übungsbuch zur Technischen Informatik 1 und 2 Bearbeitet von Wolfram Schiffmann, Robert Schmitz, Jürgen Weiland Neuausgabe 2004. Taschenbuch. x, 279 S. Paperback

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 4. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Wechselspannung Einfache Logische Verknüpfungen Logikschaltungen

Mehr

Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017. NE555 IC Timer

Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017. NE555 IC Timer Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017 NE555 IC Timer Gliederung Einführende Anwendung des NE555 Innere Verschaltung Wdh. Komparator Wdh. RS-Latch Erklärung seiner Funktion

Mehr

Arbeitstitel: DV-Infrastruktur

Arbeitstitel: DV-Infrastruktur Arbeitstitel: DV-Infrastruktur Überblick über die Lehrveranstaltung Rechnerarchitektur Betriebssysteme Rechnernetze Einf. in die WI 1 - DV-Infrastruktur WS03/04 1 Rechnerarchitektur Einf. in die Technologie

Mehr

Versuch P1-50,51,52 - Transistorgrundschaltungen. Vorbereitung. Von Jan Oertlin. 4. November 2009

Versuch P1-50,51,52 - Transistorgrundschaltungen. Vorbereitung. Von Jan Oertlin. 4. November 2009 Versuch P1-50,51,52 - Transistorgrundschaltungen Vorbereitung Von Jan Oertlin 4. November 2009 Inhaltsverzeichnis 0. Funktionsweise eines Transistors...2 1. Transistor-Kennlinien...2 1.1. Eingangskennlinie...2

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 3. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Kapazität, Induktivität Halbleiter, Halbleiterdiode Wechselspannung

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 8 AM 23.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Übung zu Grundlagen der Technischen Informatik

Übung zu Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Multiplexer und De-Multiplexer

Mehr

Logikausgang Grundschaltungen in CMOS-Technik

Logikausgang Grundschaltungen in CMOS-Technik Logikausgang Grundschaltungen in CMOS-Technik X Liers - PEG-Vorlesung WS00/0 - Institut für Informatik - FU Berlin 49 Logikausgang Grundschaltungen CS INV in CMOS-Technik (Tristate) Transistor leitet X

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

E Technologische Grundlagen

E Technologische Grundlagen E Technologische Grundlagen 2002, Franz J. Hauck, Verteilte Systeme, Univ. Ulm, [2005sTI1ETech.fm, 20050517 14.57] http://wwwvs.informatik.uniulm.de/teach/ws04/avo/ E.1 1 Einordnung Ebene 6 Ebene 5 Ebene

Mehr

Page 1 of 13 Fenster schließen Digitaltechnik 1. Einige Grundlagen 1.1 Signalpegel 1.2 Logische Schaltglieder 1.2.1 UND / AND - Gatter 1.2.2 ODER / OR - Gatter 1.2.3 NICHT / NOT - Gatter 1.2.4 NICHT-UND

Mehr

Verlustleistungsreduzierung in Datenpfaden

Verlustleistungsreduzierung in Datenpfaden Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken

Mehr

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 GTI ÜBUNG 9 Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK AUFGABE CMOS Beschreibung: Sei die Schaltfunktion f x 3, x 2, x, x 0 = x 0 x x

Mehr

Grundlagen der Digitalen Elektronik

Grundlagen der Digitalen Elektronik Kapitel 1 Grundlagen der Digitalen Elektronik 1.1 Logische Grundverknüpfungen bei historischer Logik Am Beispiel einiger logischer Grundschaltungen lassen sich die logischen Grundverknüpfungen einfach

Mehr

3 Verarbeitung und Speicherung elementarer Daten

3 Verarbeitung und Speicherung elementarer Daten 3 Verarbeitung und Speicherung elementarer Daten 3.1 Boolsche Algebra Definition: Eine Boolsche Algebra ist eine Menge B mit den darauf definierten zweistelligen Verknüpfungen (+,*) sowie der einstelligen

Mehr

Logikschaltungen. Basiswissen für junge Elektroniker

Logikschaltungen. Basiswissen für junge Elektroniker Basiswissen für junge Elektroniker Logikschaltungen Manche Geräte sollen nur dann starten, wenn alle Voraussetzungen erfüllt sind. Man nennt das eine logische Verknüpfung von Zuständen. Die Heizung fragt

Mehr

Grundlagen der Informationsverarbeitung:

Grundlagen der Informationsverarbeitung: Grundlagen der Informationsverarbeitung: Boolesche Funktionen, Schaltnetze und Schaltwerke Prof. Dr.-Ing. habil. Ulrike Lucke Durchgeführt von Prof. Dr. rer. nat. habil. Mario Schölzel Maximaler Raum für

Mehr

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden sequentielle Schaltungen: digitale Schaltung mit inneren Rückführungen sie haben eine zeitsequentielle Arbeitsweise, wobei die einzelnen diskreten Zeitpunkte durch innere Zustände repräsentiert werden

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

Fach: Elektrotechnik

Fach: Elektrotechnik Grundschaltungen der Digitaltechnik Mit n Signalen (Leitungen) können in der Digitaltechnik somit 2 n Zustände dargestellt werden. Analoge und digitale Signale Ein analoges Signal kann beliebige Spannungswerte

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Kapitel 3 - PLA und Flip-Flops

Kapitel 3 - PLA und Flip-Flops Kapitel 3 - PLA und Flip-Flops Programmable Logic Array (PLA) Die Idee eines PLAs ist, dass bei der Chipherstellung ein homogenes Feld von Transistoren erzeugt wird. Die eigentliche Funktionalität wird

Mehr

Praktikum Analog- und Digitaltechnik. Versuch A2 Transistorschaltung

Praktikum Analog- und Digitaltechnik. Versuch A2 Transistorschaltung Praktikum Analog- und Digitaltechnik Versuch A2 Transistorschaltung Inhalt dieses Versuches: Verständnis von bipolar Transistoren als Schalter oder Verstärker Aufbau eines Brückengleichrichters Aufbau

Mehr

E Technologische Grundlagen

E Technologische Grundlagen 1 Einordnung E Technologische Grundlagen Ebene 6 Ebene 5 Ebene 4 Ebene 3 Ebene 2 Ebene 1 Ebene 0 roblemorientierte Sprache Assemblersprache etriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

Logikfamilien der Digitaltechnik

Logikfamilien der Digitaltechnik Logikfamilien der Digitaltechnik W.Kippels 22. März 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Grundlagen der TTL-Technik 2 2.1 NND-Gatter in TTL-Technik....................... 2 2.2 NOR-Gatter in TTL-Technik........................

Mehr

6 Integrierte digitale Logikbausteine

6 Integrierte digitale Logikbausteine 6 Integrierte digitale Logikbausteine 6.1 Kennwerte der Integrationsdichte Die Komplexität einer Integrierten Schaltung (IC) wird außer mit der Transistoranzahl auch mit der Anzahl der logischen Gatter

Mehr

2.1 Boole sche Funktionen

2.1 Boole sche Funktionen . Grundlagen digitaler Schaltungen. Boole sche Funktionen Darstellung Boolescher Funktionen. Boole sche lgebra Sätze der Booleschen lgebra.3 Realisierung von Booleschen Funktionen Normalformen zweistufiger

Mehr

Transistorschaltungen

Transistorschaltungen Transistorschaltungen V DD in Volt 3 2 V Ein - UTh,P V Ein - UTh,N 1-1 0 1 2 3 U Th,P U Th,N V Ein in Volt a) Schaltung b) Übertragungsfunktion Bipolar Transistorschaltung im System I Ein C Ein? V CC I

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

3 Elektronische Verknüpfungsglieder

3 Elektronische Verknüpfungsglieder 3 Elektronische Verknüpfungsglieder ufgabe 27: RTL NICHT Glied.27.: Skizzieren Sie die Schaltung eines NICHT Schaltgliedes, das mit einem NPN Transistor und Widerständen aufgebaut ist (Resistor Transistor

Mehr

Positive/Negative Logik

Positive/Negative Logik Positive/Negative Logik Positive Logik Negative Logik Dominant = höherwertig Rezessiv = niederwertig In der Spezifikation ist für den CAN-Datenbus die negative Logik festgelegt worden! Schaltung... Negative

Mehr

Aufgabensammlung. eines Filters: c) Wie stark steigen bzw. fallen die beiden Flanken des Filters?

Aufgabensammlung. eines Filters: c) Wie stark steigen bzw. fallen die beiden Flanken des Filters? Aufgabensammlung Analoge Grundschaltungen 1. Aufgabe AG: Gegeben sei der Amplitudengang H(p) = a e eines Filters: a) m welchen Filtertyp handelt es sich? b) Bestimmen Sie die Mittenkreisfrequenz des Filters

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter Computersysteme 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter 1 Die Einsen im KV-Diagramm werden zu Blöcken maximaler Größe zusammengefasst. Dabei

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design 2 Schaltnetze (kombinatorische Logik) Schaltnetze realisieren eine Schalt- oder Vektorfunktion Y = F (X) X: Eingangsvektor mit den Variablen x 0, x 1, x n Y: Ausgabevektor mit den Variablen y 0, y 1, y

Mehr

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 lektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 b) n die Schaltung werden nacheinander die in der Tabelle eingetragenen ingangssignale angelegt. Tragen Sie die sich einstellenden Pegel

Mehr

Elektronik NATURWISSENSCHAFT UND TECHNIK. 1. Halbleiter Messung der Beleuchtungsstärke (Zusatzexperiment)

Elektronik NATURWISSENSCHAFT UND TECHNIK. 1. Halbleiter Messung der Beleuchtungsstärke (Zusatzexperiment) 1. Halbleiter 1.1. Ein belichtungsabhängiger Widerstand (LDR) 1 LDR-Widerstand 4 Verbindungsleitungen 1.2. Messung der Beleuchtungsstärke (Zusatzexperiment) 1 LDR-Widerstand 4 Verbindungsleitungen 1. Halbleiter

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 5. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Feldeffekttransistoren (FET) Logikschaltungen in CMOS-Technologie

Mehr

Dotierter Halbleiter

Dotierter Halbleiter FH München FK 03 Maschinenbau Diplomprüfung Elektronik SS 007 Freitag, 0.7.007 Prof. Dr. Höcht (Prof. Dr. Kortstock) Zugelassene Hilfsmittel: Alle eigenen Dauer der Prüfung: 90 Minuten 1 Homogene Halbleiter

Mehr

SS 98 / Platz 1. Versuchsprotokoll. (Elektronik-Praktikum) zu Versuch 4. Differenzverstärker

SS 98 / Platz 1. Versuchsprotokoll. (Elektronik-Praktikum) zu Versuch 4. Differenzverstärker Dienstag, 19.5.1998 SS 98 / Platz 1 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Elektronik-Praktikum) zu Versuch 4 Differenzverstärker 1 Inhaltsverzeichnis 1 Problemstellung 3 2 Physikalische

Mehr

Weichenanzeige, mit 2 LED s, kompatibel zum Anschluss direkt an grünen Trix-Schalter 0,25W

Weichenanzeige, mit 2 LED s, kompatibel zum Anschluss direkt an grünen Trix-Schalter 0,25W +12V Weichenanzeige, mit 2 LED s, kompatibel zum Anschluss direkt an grünen Trix-Schalter D1 LED, gelb D2 LED, grün T1 = BC547 R1 = 2k, 0,25W R3 = 33k 0,25W R2 = 2k 0,25W Grüner Trix- Schalter, die 2 blanken

Mehr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Montag, den Uhr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Montag, den Uhr Grundlagenorientierungsprüfung für Elektroingenieure Schaltungstechnik 1 Univ.-Prof. Dr. techn. Josef A. Nossek Montag, den 17.02.2003 9.00 10.30 Uhr Name: Vorname: Matrikel-Nr.: Hörsaal: Platz-Nr.: Dieses

Mehr

Rechnerorganisation 5. Vorlesung

Rechnerorganisation 5. Vorlesung Rechnerorganisation 5. Vorlesung Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen

Mehr

4 20mA Technik Seite 1 von 13. Einleitung

4 20mA Technik Seite 1 von 13. Einleitung 4 20mA Technik Seite 1 von 13 Einleitung In der Industrie werden Sensoren und Auswerteschaltungen nicht immer am gleichen Ort verwendet. Der Sensor muss über längere Strecken sein Sensorsignal liefern,

Mehr

A1: Die Aufgabe 1 ist Grundlage für alle nachfolgenden Aufgaben und wird von jedem Studenten im Selbststudium erarbeitet.

A1: Die Aufgabe 1 ist Grundlage für alle nachfolgenden Aufgaben und wird von jedem Studenten im Selbststudium erarbeitet. Wirtschaftsingenieurwesen Grundlagen der Elektronik und Schaltungstechnik Prof. Dr. Ing. Hoffmann Übung 4 Bipolartransistor als Schalter und Verstärker Übung 4: 07.06.2018 A1: Die Aufgabe 1 ist Grundlage

Mehr

Highspeed. Kurzzeitfotografie in Natur und Studio. Bearbeitet von Hans-Christian Steeg

Highspeed. Kurzzeitfotografie in Natur und Studio. Bearbeitet von Hans-Christian Steeg Highspeed Kurzzeitfotografie in Natur und Studio Bearbeitet von Hans-Christian Steeg 1. Auflage 2014. Buch. XIV, 273 S. Hardcover ISBN 978 3 86490 034 1 Format (B x L): 21 x 21 cm Weitere Fachgebiete >

Mehr

Digitalelektronik - Inhalt

Digitalelektronik - Inhalt Digitalelektronik - Inhalt Grundlagen Signale und Werte Rechenregeln, Verknüpfungsregeln Boolesche Algebra, Funktionsdarstellungen Codes Schaltungsentwurf Kombinatorik Sequentielle Schaltungen Entwurfswerkzeuge

Mehr

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit Grundlagen der Technischen Informatik 6. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 6. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Relais-Schaltnetze Entwicklungssatz

Mehr

Fall 1: Diode D1 sperrt (u D1 < 0), Diode D2 leitet (i D2 > 0) Fall 2: Diode D1 leitet (i D1 > 0), Diode D2 sperrt (u D2 < 0)

Fall 1: Diode D1 sperrt (u D1 < 0), Diode D2 leitet (i D2 > 0) Fall 2: Diode D1 leitet (i D1 > 0), Diode D2 sperrt (u D2 < 0) 2 Aufgabe 1 Operationsverstärker (31 Punkte) Zuerst soll folgende Schaltung mit einem Operationsverstärker, linearen Widerständen und idealen Dioden untersucht werden. R 1 i z =0 R 1 u D2 D2 i D2 u e u

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, 16.06.2016 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

Die wichtigsten Eigenschaften von bipolaren Transistoren.

Die wichtigsten Eigenschaften von bipolaren Transistoren. Elektronik-Kurs Die wichtigsten Eigenschaften von bipolaren Transistoren. Es gibt 2 Arten von bipolaren Transistoren: NPN-Transistoren PNP-Transistoren Diese Bezeichnung entspricht dem inneren Aufbau der

Mehr

Rechnerorganisation 5. Vorlesung

Rechnerorganisation 5. Vorlesung Rechnerorganisation 5. Vorlesung Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen

Mehr

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

Fall 1: Diode D1 sperrt (u D1 < 0), Diode D2 leitet (i D2 > 0) Fall 2: Diode D1 leitet (i D1 > 0), Diode D2 sperrt (u D2 < 0)

Fall 1: Diode D1 sperrt (u D1 < 0), Diode D2 leitet (i D2 > 0) Fall 2: Diode D1 leitet (i D1 > 0), Diode D2 sperrt (u D2 < 0) 2 31 Aufgabe 1 Operationsverstärker (31 Punkte) Zuerst soll folgende Schaltung mit einem Operationsverstärker, linearen Widerständen und idealen Dioden untersucht werden. i z =0 u D2 D2 i D2 u e u D1 D1

Mehr

Heute werden Elektronenröhren durch moderne Halbleiterbauelemente ersetzt. Röhrendiode Elektronenröhren

Heute werden Elektronenröhren durch moderne Halbleiterbauelemente ersetzt. Röhrendiode Elektronenröhren Heute werden Elektronenröhren durch moderne Halbleiterbauelemente ersetzt. Röhrendiode Elektronenröhren Transistoren Halbleiterdiode Der Transistor Der Transistor ist ein aktives auelement, der über einen

Mehr

Stellpult selbst gebaut: Teil 9, Weichenanzeige für 2 LED s

Stellpult selbst gebaut: Teil 9, Weichenanzeige für 2 LED s Stellpult selbst gebaut: Teil 1, Das Gesamtkonzept 12.03.2018 trixep1 Stellpult selbst gebaut: Teil 2, Das Unterverteiler-Konzept und die Schnittstellen zum Stellpult Stellpult selbst gebaut: Teil 3, Das

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Schaltnetze-Übungen. Spaltenadressdecoder. A x. Es wird Ihnen folgende Struktur für die Decodierschaltungen vorgeschlagen. V dd

Schaltnetze-Übungen. Spaltenadressdecoder. A x. Es wird Ihnen folgende Struktur für die Decodierschaltungen vorgeschlagen. V dd Schaltnetze-Übungen Übung 1: Gegeben ist folgendes Adressformat: A 27 A 0 Wie viele Objekte kann man mit den Adressbits A 0 bis A 27 adressieren? Angenommen, es gibt Speichermodule, die genau diesen Umfang

Mehr

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2 Wirtschaftsingenieurwesen Elektronik/chaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 chaltnetze 2 Kenntnisse bezüglich der logischen Grundfunktionen sowie der Regeln und Gesetze der chaltalgebra sind

Mehr

Modellauto: Strecken- und Geschwindigkeitserkennung

Modellauto: Strecken- und Geschwindigkeitserkennung Modellauto: Strecken- und Geschwindigkeitserkennung 09.05.2011 1 Viertsemesterprojekt der energietechnischen Institute SoSe 2011 Beteiligte Institute: das IFHT Institut für Hochspannungstechnik Forschungsabteilungen

Mehr

2017 Peter E. Burkhardt. 555-Diverses. 555-Diverses. 555-Emulation (V1) 555-Emulation (V2)

2017 Peter E. Burkhardt. 555-Diverses. 555-Diverses. 555-Emulation (V1) 555-Emulation (V2) 555-Diverses 555-Diverses (V1) (V2) Seite 1 (V1) Niemand braucht die folgende Schaltung. Trotzdem war es interessant, das Innenleben eines 555 mit möglichst einfachen Mitteln nachzubilden. 12 Transistoren

Mehr

Gegeben ist eine Schaltung nach Bild1 mit zwei Siliziumdioden: Bild1. Aufgabenstellungen

Gegeben ist eine Schaltung nach Bild1 mit zwei Siliziumdioden: Bild1. Aufgabenstellungen Übung1 Gegeben ist eine Schaltung nach Bild1 mit zwei Siliziumdioden: Werte: R1= 2 kω Bild1 R2= 1kΩ U0= 6V Aufgabenstellungen Lösung Berechnen Sie die von dem Widerstand R2 aufgenommene Leistung, wenn

Mehr

Projektlabor WS 04/05 Ausarbeitung: Timer 555 Aurens Pratomo. - Timer Aurens Pratomo - 1 -

Projektlabor WS 04/05 Ausarbeitung: Timer 555 Aurens Pratomo. - Timer Aurens Pratomo - 1 - - Timer 555 - - 1 - Inhaltsverzeichnis 1 EINLEITUNG... 3 1.1 WAS IST EIN TIMER 555?... 3 1.2 EIGENSCHAFTEN... 3 1.3 BLOCKSCHALTBILD & INNENANSICHT... 3 1.4 BAUFORM... 5 2 PINS... 6 2.1 GROUND (MASSE)...

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Vorbemerkung. [disclaimer]

Vorbemerkung. [disclaimer] Vorbemerkung Dies ist ein abgegebenes Praktikumsprotokoll aus dem Modul physik313. Dieses Praktikumsprotokoll wurde nicht bewertet. Es handelt sich lediglich um meine Abgabe und keine Musterlösung. Alle

Mehr

Schaltungstechnik 1 (Wdh.)

Schaltungstechnik 1 (Wdh.) Grundlagenorientierungsprüfung für Elektro- und Informationstechnik Schaltungstechnik (Wdh.) Univ.-Prof. Dr. techn. Josef A. Nossek Freitag, den 6.04.004 9.00 0.30 Uhr Name: Vorname: Matrikel-Nr.: Hörsaal:

Mehr

NvK-Gymnasium Bernkastel-Kues Widerstände. Physik Elektronik 1 U 5V = R= 20 = 0,25A R 20 1V 1A

NvK-Gymnasium Bernkastel-Kues Widerstände. Physik Elektronik 1 U 5V = R= 20 = 0,25A R 20 1V 1A Widerstände I R 20 = Ω U 5V I = R= 20 = Ω 0,25A U = R I 10 100Ω = 1kΩ ± 5% 402 100Ω = 40, 2kΩ ± 2% 1Ω = 1V 1A Widerstände U = R I 1Ω = 1V 1A 12 100 kω = 1, 2MΩ ± 5% 56 10Ω = 560Ω ± 10% 47 100Ω = 4,7kΩ

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Statische CMOS- Schaltungen Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Inhaltsübersicht 1. allgemeiner Aufbau 2. Gleichstrom Transfer Charakteristik 3. Transiente

Mehr

Digitaltechnik Grundlagen 5. Elementare Schaltnetze

Digitaltechnik Grundlagen 5. Elementare Schaltnetze 5. Elementare Schaltnetze Version 1.0 von 02/2018 Elementare Schaltnetze Dieses Kapitel beinhaltet verschiedene Schaltnetze mit speziellen Funktionen. Sie dienen als Anwendungsbeispiele und wichtige Grundlagen

Mehr