4. Anhang Unterschrift

Größe: px
Ab Seite anzeigen:

Download "4. Anhang Unterschrift"

Transkript

1 Höhere Technische undes-, Lehr- und Versuchsanstalt (ULME) Graz Gösting Elektrotechnisches Laboratorium Jahrgang: 2004/05 Übungstag:... Name: Schriebl, Forjan, Schuster Gruppe: ufgabe: Kombinatorische Logik I 1. ufgabenstellung: 1.1. Wie lauten die De Morgan schen Gesetze 1.2. ufbau eines Inverters mit NOR / NND 1.3. ufbau einer ODER-Verknüpfung mit NOR / NND 1.4. ufbau einer UND-Verknüpfung mit NOR / NND 1.5. ufbau einer EXOR-Verknüpfung mit NOR / NND 1.6. nalyse von logischen Schaltungen (Parallel-Gruppe) Erstellung der Schaltfunktion Ergänzung zur disjunktiven Normalform ufstellung der Wertetabelle Minimierung der Schaltfunktion mittels Schaltalgebra und KV-Diagramm 1.7. Synthese von logischen Schaltungen eschreibung der Funktion der gesuchten Schaltung Festlegung der Eingangs- und usgangsgrößen und der edeutung der Variablen 0 und 1 Erstellung der Wertetabelle estimmung der Schaltfunktion Minimierung der Schaltfunktion mittels Schaltalgebra und KV-Diagramm Standardartisierung der Schaltung ( NOR und NND Gatter) Zeichen des Schaltbildes Überprüfen der Schaltung durch ufbau mit Testkoffer 1.8. ufbau eines odekonverters usgangscode und Zielcode werden bekannt gegeben. 2. Schaltungen Die erstellten Wahrheittabellen, Logikgleichungen, KV-Diagramme und Schaltungen sind diesem Deckblatt beizulegen. 3. nmerkungen 4. nhang Unterschrift HTL ulme Graz Gösting DO

2 E-Labor Logikschaltungen I Seite Wie lauten die De Morgan schen Gesetze Es gibt zwei De Morgan sche Gesetze. Die erste Regel lautet: a b a b a b a b b a a b b a a b Mit der ersten Regel von de Morgan kann man eine invertierte UND-Verknüpfung auflösen. Die zweite Regel lautet: a b a b a b a b b a a b b a a b Mit der zweiten Regel von de Morgan kann man eine invertierte ODER-Verknüpfung auflösen. Durch nwenden der de Morgan schen Gesetze kann man UND- in funktionsgleiche ODER-Verknüpfungen bzw. ODER- in UND-Verknüpfungen umformen. Dabei wird jede Variable der Verknüpfungen negiert und das Funktionszeichen der Verknüpfung geändert.

3 E-Labor Logikschaltungen I Seite ufbau eines Inverters mit NOR / NND Inverter (Negation): Q m usgang des Inverters liegt immer das invertierte Eingangssignal: Q NOR-Glied: Q Q

4 E-Labor Logikschaltungen I Seite 4 NND-Glied: Q Q Lösungen: Negation aus NOR: Negation aus NND Um aus einem NOR- oder NND-Glied einen Inverter zu erzeugen, muss man die beiden Eingänge des Logik- Gliedes zusammenfassen.

5 E-Labor Logikschaltungen I Seite ufbau einer ODER-Verknüpfung mit NOR / NND m usgang einer ODER-Verknüpfung liegt dann ein Signal 1 an, wenn einer der Eingänge den Zustand 1 hat. ODER-Verknüpfung: Q Q Lösungen: ODER aus NOR ODER aus NND

6 E-Labor Logikschaltungen I Seite 6 1.4) ufbau einer UND-Verknüpfung mit NOR / NND m usgang einer UND-Verknüpfung liegt nur dann das Signal 1 an, wenn alle Eingänge den Signalzustand 1 aufweisen. UND-Verknüpfung: Q Q Lösungen: UND mit NOR UND mit NND

7 E-Labor Logikschaltungen I Seite 7 1.5) ufbau einer EXOR-Verknüpfung mit NOR / NND Die EXOR-Verknüpfung (ntivalenz-verknüpfung) vergleicht die Eingänge auf ungleiche Signalzustände. EXOR-Verknüpfung: Q Q Q Lösungen: EXOR mit NOR

8 E-Labor Logikschaltungen I Seite Synthese einer Schaltung mit digitalen austeinen, deren Funktion als Wahrheitstabelle gegeben ist sp.1.) D Y ) Disjunktive Normalform: Q D D D D D D D D D

9 E-Labor Logikschaltungen I Seite 9 2.) Minimierte Schaltfunktion: KV-Diagramm: D D D D Die minimierte Schaltfunktion lautet: Y D D D Realisierung mit NND-Gliedern: Y D D D Schaltbild:

10 E-Labor Logikschaltungen I Seite 10 sp.2.) Umwandlung einer Gatterschaltung, deren Funktion gegeben ist f Z,, Umwandlung in eine Schaltung mit NND-Gatter: Z Z Schaltung:

Schaltfunktion, Definition

Schaltfunktion, Definition Schaltfunktion, Definition Sei S = { 0,1}. Dann heißt eine Abbildung f: S n S eine Schaltfunktion. = f(x n-1,x n-2,...,,, ), x n-1, x n-2,...,,, S x i X = (x n-1,x n-2,...,,, ) Eingangsvariable Eingangsvektor

Mehr

Kombinatorische Logik, Schaltalgebra

Kombinatorische Logik, Schaltalgebra Lothar Müller euth Hochschule erlin 1 Logische Zustände In der Digitaltechnik werden Informationen oder Signale verwendet, die nur 2 Zustände annehmen können. Mathematisch kennzeichnen wir sie unter Verwendung

Mehr

Schaltalgebra. Prof. Metzler

Schaltalgebra. Prof. Metzler Schaltalgebra 1 Schaltalgebra (oolsche lgebra) George oole, britischer Mathematiker, 1815-1864 "The mathematical analysis of logic (lgebra zur systematischen ehandlung von Logik) 1847, 1854 1938 leitet

Mehr

HINWEIS Die Anleitung zur Versuchsdurchführung wird bei diesem Versuch unmittelbar zu Versuchsbeginn ausgegeben.

HINWEIS Die Anleitung zur Versuchsdurchführung wird bei diesem Versuch unmittelbar zu Versuchsbeginn ausgegeben. Fachbereich Elektrotechnik und Informationstechnik Laborpraktikum Schaltungstechnik für WI Prof. M. Hoffmann Grundlagen der Digitaltechnik Teilnehmer: Testat: Studiengang: Set: Datum: Platz: Unterschrift:

Mehr

Inhalt. Lektion 13: Mini-Digitaltechnik 13. MINI-DIGITALTECHNIK 9

Inhalt. Lektion 13: Mini-Digitaltechnik 13. MINI-DIGITALTECHNIK 9 Inhalt 13. MINI-DIGITLTECHNIK 9 13.1 Logische Verknüpfungen 9 13.1.1 ND-Verknüpfung 9 13.1.2 ufstellung einer Wahrheitstabelle 10 13.1.3 ND-Verknüpfung mit Schalter 11 13.1.4 OR-Verknüpfung 13 13.1.5 NOT-Verknüpfung

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

2.1 Boole sche Funktionen

2.1 Boole sche Funktionen . Grundlagen digitaler Schaltungen. Boole sche Funktionen Darstellung Boolescher Funktionen. Boole sche lgebra Sätze der Booleschen lgebra.3 Realisierung von Booleschen Funktionen Normalformen zweistufiger

Mehr

1. Logische Verknüpfungen

1. Logische Verknüpfungen 1. Logische Verknüpfungen 1.1 UND - Verknüpfung Mathematik: X = A Schaltzeichen: A & X Wahrheitstabelle: A X 0 0 0 0 1 0 1 0 0 1 1 1 Am Ausgang eines UND Gliedes liegt nur dann der Zustand 1, wenn an allen

Mehr

Digitaltechnik. Selina Malacarne Nicola Ramagnano. 1 von 21

Digitaltechnik. Selina Malacarne Nicola Ramagnano. 1 von 21 Digitaltechnik Selina Malacarne Nicola Ramagnano 1 von 21 5./6. September 2011 Programm Was bedeutet digital? Logische Verknüpfungen Bau einer Alarmanlage 2 von 21 Programm Was bedeutet digital? Logische

Mehr

2. Funktionen und Entwurf digitaler Grundschaltungen

2. Funktionen und Entwurf digitaler Grundschaltungen 2. Funktionen und Entwurf digitaler Grundschaltungen 2.1 Kominatorische Schaltungen Kombinatorische Schaltungen - Grundlagen 1 Grundgesetze der Schaltalgebra UND-Verknüpfung ODER-Verknüpfung NICHT-Verknüpfung

Mehr

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0 Satz von De Morgan A + = A A A + A + A A 0 0 0 1 1 1 1 0 1 1 0 1 1 0 1 0 1 0 0 1 0 1 1 1 0 0 0 0 Transistoren: A U a A 0 0 Vcc 1 0 1 Vcc 1 1 0 Vcc 1 1 1 0 V 0 eispiel: Schaltung zur Erkennung gültiger

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter Computersysteme 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter 1 Die Einsen im KV-Diagramm werden zu Blöcken maximaler Größe zusammengefasst. Dabei

Mehr

Leseprobe. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen ISBN:

Leseprobe. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen ISBN: Leseprobe Gerd Wöstenkühler Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen ISN: 978-3-446-42737-2 Weitere Informationen oder estellungen unter http://www.hanser.de/978-3-446-42737-2

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

Informationsdarstellung

Informationsdarstellung Informationsdarstellung Signale und Logik Grundzüge der Booleschen Algebra Signale und Logik (2) Grundzüge d. Informationstheorie [Logarithmen-Repetitorium] Zahlensysteme und ihre Anwendung Signale und

Mehr

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit Grundlagen der Technischen Informatik 6. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 6. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Relais-Schaltnetze Entwicklungssatz

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

Digitaltechnik. KV-Diagramm

Digitaltechnik. KV-Diagramm KV-01 ie unterscheidet sich von der Analogtechnik dahingehend, dass sie nur zwei (Spannungs)Zustände kennt: nämlich 0V (binär 0) oder 5V (binär 1). iese beiden Zustände werden durch verschiedene logische

Mehr

Inhaltsverzeichnis. Inhalt. 1 Einleitung

Inhaltsverzeichnis. Inhalt. 1 Einleitung Inhalt 3 Inhaltsverzeichnis 1 Einleitung 1.1 Digitale und analoge Signale... 9 1.2 Digitale Darstellung... 12 1.3 Datenübertragung... 14 1.4 Aufgaben digitaler Schaltungen... 17 1.5 Geschichte der Digitalrechner...

Mehr

Aussagenlogik. Formale Methoden der Informatik WiSe 2012/2013 teil 6, folie 1

Aussagenlogik. Formale Methoden der Informatik WiSe 2012/2013 teil 6, folie 1 Aussagenlogik Formale Methoden der Informatik WiSe 22/23 teil 6, folie Teil VI: Aussagenlogik. Einführung 2. Boolesche Funktionen 3. Boolesche Schaltungen Franz-Josef Radermacher & Uwe Schöning, Fakultät

Mehr

Halbaddierer - und Volladdierer - Schaltungen

Halbaddierer - und Volladdierer - Schaltungen Fachhochschule erlin Labor für digitale Elektronik DE ufgabe DE Protokol albaddierer - und Volladdierer - chaltungen albaddierer - und Volladdierer - chaltungen Lernziel: Erfahrungen über einige wichtige

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

2.5. Umwandlung von Schaltfunktionen in die NOR und NAND Technik

2.5. Umwandlung von Schaltfunktionen in die NOR und NAND Technik .. Umwandlung on Schaltfunktionen in die NOR und NAND Technik... Smbole 0 0 0 0 0 NAND Elemente 0 0 0 0 0 0 0 NOR Elemente Beachte : Jedes NOR bzw. NAND Element hat mindestens Eingänge!... Umwandlungsorschriften

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1 4 Schaltalgebra 4. Axiome; Signale und Schaltfunktionen Der Entwurf einer Digitalschaltung mit vorgegebener Funktion erfordert die Manipulation der verschiedenen Eingangssignale auf eine Weise, die in

Mehr

Boolesche Algebra (1)

Boolesche Algebra (1) Boolesche Algebra (1) Definition 1: Sei B = Σ 2 = {0,1} das Alphabet mit den Elementen 0 und 1. Seien auf B die 3 Operatoren einer Algebra wie folgt definiert für x,y aus B: x+y := Max(x,y), x y := Min(x,y),

Mehr

Einführung in die Boolesche Algebra

Einführung in die Boolesche Algebra Einführung in die Boolesche Algebra Einführung in Boole' sche Algebra 1 Binäre Größe Eine Größe (eine Variable), die genau 2 Werte annehmen kann mathematisch: falsche Aussage wahre Aussage technisch: ausgeschaltet

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

1 Einfache diskrete, digitale Verknüpfungen

1 Einfache diskrete, digitale Verknüpfungen 1 Einfache diskrete, digitale Verknüpfungen Mit den drei Grund Gattern UND, ODER und Nicht lassen sich alle anderen Gattertypen realisieren! Q = e 1 e 1.1 AND, UND, Konjunktion 2 Die Konjunktion (lateinisch

Mehr

Störungen in Digitalsystemen

Störungen in Digitalsystemen Störungen in Digitalsystemen Eine Lernaufgabe von Jost Allmeling Betreuer: Markus Thaler Inhalt und Lernziel: Die Studenten erkennen, dass man durch Einfügen von zusätzlichen Gattern Hazards vermeiden

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung 2.3 Logikoptimierung Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik:..Exakte Verfahen..Heuristische Verfahren..Expansion/ Reduktion..Streichen

Mehr

3 Elektronische Verknüpfungsglieder

3 Elektronische Verknüpfungsglieder 3 Elektronische Verknüpfungsglieder ufgabe 27: RTL NICHT Glied.27.: Skizzieren Sie die Schaltung eines NICHT Schaltgliedes, das mit einem NPN Transistor und Widerständen aufgebaut ist (Resistor Transistor

Mehr

Was bisher geschah: klassische Aussagenlogik

Was bisher geschah: klassische Aussagenlogik Was bisher geschah: klassische Aussagenlogik klassische Aussagenlogik: Syntax, Semantik Äquivalenz zwischen Formeln ϕ ψ gdw. Mod(ϕ) = Mod(ψ) wichtige Äquivalenzen, z.b. Doppelnegation-Eliminierung, DeMorgan-Gesetze,

Mehr

Grundschaltungen der Digitaltechnik

Grundschaltungen der Digitaltechnik & >= Grundschaltungen der Digitaltechnik naloge und digitale Signale Ein analoges Signal kann beliebige Spannungswerte annehmen, währenddem ein digitales Signal nur zwei verschiedene Werte annehmen kann.

Mehr

1. Boolesche Algebra und Schaltalgebra

1. Boolesche Algebra und Schaltalgebra 1 oolesche lgebra und Schaltalgebra Folie 1 1. oolesche lgebra und Schaltalgebra 1.1 Was ist Informatik? Definition des egriffs Informatik Die Informatik ist die Wissenschaft, die sich mit der systematischen

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 3: Schaltnete 83.579, SS202 Übungsgruppen: Do., 9.04. Mi., 25.04.202 Aufgab: Vereinfachung mittels KV-Diagramm Gegeben ist folgende Wahrheitstafel: e 0 Z Z

Mehr

Rechnenund. Systemtechnik

Rechnenund. Systemtechnik Rechnen- und Systemtechnik 1 / 29 Rechnenund Systemtechnik Skript und Unterrichtsmitschrift April 22 Rechnen- und Systemtechnik 2 / 29 nhaltsverzeichnis 1. Grundbausteine der Digitaltechnik... 4 1.1. UND-Verknüpfungen

Mehr

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen SS 2005 Prof. Dr. Richard Roth 6 SWS SU und Übungen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 1 Literatur zur Vorlesung DD [1] PERNARDS, P..; Digitaltechnik Hüthig, 1992

Mehr

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel:

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: Seite 1 Aufgabe 1 Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: f 1 = a b c d + a b c d + a b c d + a b c d + a

Mehr

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4 Aufgabe 1 Eine Zahl a ist mit 8 Bits vorzeichenlos (8 bit unsigned) dargestellt. Die Zahl y soll die Zahl a multipliziert mit 4 sein (y = a 4 D ). a) Wie viele Bits benötigen Sie für die Darstellung von

Mehr

Digitalelektronik: Einführung

Digitalelektronik: Einführung Überblick Grundlagen: Spannung, Strom, Widerstand, IV-Kennlinien Elektronische Messgeräte im Elektronikpraktikum Passive Filter Signaltransport im Kabel Transistor Operationsverstärker PI-Regler Sensorik

Mehr

Boolesche (Schalt-) Algebra (1)

Boolesche (Schalt-) Algebra (1) Boolesche (Schalt-) Algebra (1) Definition 1: Sei B = SS 2 = 0,1 das Alphabet mit den Elementen 0 und 1. Seien auf BB die folgenden 3 Operatoren definiert für xx, yy B: xx + yy max xx, yy xx yy min xx,

Mehr

Electronic Design Automation (EDA) Logikoptimierung

Electronic Design Automation (EDA) Logikoptimierung Electronic Design Automation (EDA) Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik: Exakte Verfahren... Heuristische Verfahren... Expansion/Reduktion...

Mehr

Normalformen von Schaltfunktionen

Normalformen von Schaltfunktionen Disjunktive Normalform (DNF) Vorgehen: 2. Aussuchen der Zeilen, in denen die Ausgangsvariable den Zustand 1 hat 3. Die Eingangsvariablen einer Zeile werden UND-verknüpft a. Variablen mit Zustand 1 werden

Mehr

Logik (Teschl/Teschl 1.1 und 1.3)

Logik (Teschl/Teschl 1.1 und 1.3) Logik (Teschl/Teschl 1.1 und 1.3) Eine Aussage ist ein Satz, von dem man eindeutig entscheiden kann, ob er wahr (true, = 1) oder falsch (false, = 0) ist. Beispiele a: 1 + 1 = 2 b: Darmstadt liegt in Bayern.

Mehr

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6 Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6 Prof. aitinger / Lammert esprechung: 29.01.2001 S I ufgabe 1 MOS-Widerstände bb_dummy: 1.0 a) Zeichnen Sie einen Querschnitt durch einen

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 6. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Boolesche Gesetze Boolesche Kürzungsregeln Antivalenz und

Mehr

03 Boolesche Algebra. Technische Grundlagen der Informatik

03 Boolesche Algebra. Technische Grundlagen der Informatik 03 Boolesche Algebra Technische Grundlagen der Informatik Automation Systems Group E183-1 Institute of Computer Aided Automation Vienna University of Technology email: tgi@auto.tuwien.ac.at Inhalt Operationen

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): ufgabe 1, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b) Ergänzen Sie für Ihre Schaltung in

Mehr

Grundlagen der Digitalen Elektronik

Grundlagen der Digitalen Elektronik Kapitel 1 Grundlagen der Digitalen Elektronik 1.1 Logische Grundverknüpfungen bei historischer Logik Am Beispiel einiger logischer Grundschaltungen lassen sich die logischen Grundverknüpfungen einfach

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , , Lehrveranstaltung: Digitale Systeme KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel 24.04.2012, 25.04.2012, 26.04.2012, 27.04.2012 Übersicht Kombinatorische Schaltungen n-bit-addierer Minimierungsverfahren

Mehr

Formelsammlung. Wahrscheinlichkeit und Information

Formelsammlung. Wahrscheinlichkeit und Information Formelsammlung Wahrscheinlichkeit und Information Ein Ereignis x trete mit der Wahrscheinlichkeit p(x) auf, dann ist das Auftreten dieses Ereignisses verbunden mit der Information I( x): mit log 2 (z)

Mehr

Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt.

Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt. 4. Technische Realisierung Sie erinnern sich: Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt. Hier: physikalische Größe = elektrische Spannung

Mehr

a. Welche der folgenden Terme können als Minterm, Maxterm, beides oder keines von beidem dargestellt werden:

a. Welche der folgenden Terme können als Minterm, Maxterm, beides oder keines von beidem dargestellt werden: Übungen zur Vorlesung Technische Informatik I, SS 2002 Hauck / Guenkova-Luy / Prager / hen Übungsblatt 1 oolesche lgebra /Kombinatorische Logik ufgabe 1: a. Welche der folgenden Terme können als Minterm,

Mehr

12 Digitale Logikschaltungen

12 Digitale Logikschaltungen 2 Digitale Logikschaltungen Die Digitaltechnik ist in allen elektronischen Geräte vorhanden (z.b. Computer, Mobiltelefone, Spielkonsolen, Taschenrechner und vieles mehr), denn diese Geräte arbeiten hauptsächlich

Mehr

b. Erstellen Sie Wahrheitstabellen für die folgenden Terme:

b. Erstellen Sie Wahrheitstabellen für die folgenden Terme: Übungen zur Vorlesung Technische Informatik I, SS 200 Strey / Guenkova-Luy / Prager Übungsblatt oolesche lgebra /Kombinatorische Logik ufgabe : a. Welche der folgenden Terme können als Minterm, Maxterm,

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

10. Schaltungssynthese

10. Schaltungssynthese Bei der Schaltungssynthese soll ausgehend von einer Funktionsbeschreibung die Wahrheitstabelle, die Funktionsgleichung sowie eine mögliche Schaltung erstellt werden. Die Schaltungssynthese ist also die

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Allgemeingültige Aussagen

Allgemeingültige Aussagen Allgemeingültige Aussagen Definition 19 Eine (aussagenlogische) Formel p heißt allgemeingültig (oder auch eine Tautologie), falls p unter jeder Belegung wahr ist. Eine (aussagenlogische) Formel p heißt

Mehr

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50)

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50) Aussagenlogik Formale Methoden der Informatik WiSe 2/2 teil 7, folie (von 5) Teil VII: Aussagenlogik. Einführung 2. Boolesche Funktionen 3. Boolesche Schaltungen Franz-Josef Radermacher & Uwe Schöning,

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

11. Beschreiben Sie die disjunktive und die konjunktive Normalform eines logischen Ausdrucks!

11. Beschreiben Sie die disjunktive und die konjunktive Normalform eines logischen Ausdrucks! Kapitel 3 Logik Verständnisfragen Sachfragen 1. Was ist eine logische Aussage? 2. Wie ist die Konjunktion und die Disjunktion definiert? 3. Beschreiben Sie das Exklusive Oder, die Implikation und die Äquivalenz!

Mehr

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2 Wirtschaftsingenieurwesen Elektronik/chaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 chaltnetze 2 Kenntnisse bezüglich der logischen Grundfunktionen sowie der Regeln und Gesetze der chaltalgebra sind

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Kombinatorische Schaltwerke

Kombinatorische Schaltwerke Informationstechnisches Gymnasium Leutkirch Kombinatorische Schaltwerke Informationstechnik (IT) Gemäß Bildungsplan für das berufliche Gymnasium der dreijährigen Aufbauform an der Geschwister-Scholl-Schule

Mehr

Einführung in die Programmierung

Einführung in die Programmierung Vorlesungsteil 4 Aussagenlogik, logische Schaltungen und Bitoperationen in C PD Dr. Brandenburgische Technische Universität Cottbus Senftenberg Institut für Informatik, Informations- und Medientechnik

Mehr

Digitaltechnik Übungsaufgaben Wintersemester 2012/ Teil

Digitaltechnik Übungsaufgaben Wintersemester 2012/ Teil DIGITALTEHNIK ÜBUNGEN WS 12/13 1 1 Digitaltechnik Übungsaufgaben Wintersemester 2012/13 Binär- und Hexadezimalzahlen 1. Teil 8. 10. 12 Prinzip des Binärsystems. Umrechnungen dezimal binär hexadezimal (in

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

Teil 1 Digitaltechnik 1 Grundlagen: Zahlensysteme, Dualzahlen und Codes 3 1.1 Dezimalzahlensystem 3 1.2 Bündelung 4 1.3 Das dezimale Positionensystem 6 1.4 Römische Zahlen 7 1.5 Ägyptische Zahlen 8 1.6

Mehr

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6 Inhaltsverzeichnis 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1 2 Operationssystem der Schaltalgebra 4 3 Boolesche Funktionen 6 4 Boolesche Funktionen kombinatorischer Schaltungen 8 4.1 Begriffsbestimmung

Mehr

Technische Informatik 3. Vorlesung

Technische Informatik 3. Vorlesung Technische Informatik 3. Vorlesung Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen

Mehr

Komparatoren und Multiplexer

Komparatoren und Multiplexer TECHNISCHE UNIVERSITÄT ILMENU Fakultät für Elektrotechnik und Informationstechnik Fachgebiet Elektronische Schaltungen und Systeme Komparatoren und Multipleer Versuch 5 im Informationselektronischen Praktikum

Mehr

Gruppe: Teilnehmer: Vortestate: Testat:

Gruppe: Teilnehmer: Vortestate: Testat: Praktikum Hardware-Grundlagen igitale Schaltungen Gruppe: Teilnehmer: Vortestate: Testat: Vorbereitung: Vergleicher: RS-Latch: -Latch: -FF: Schieberegister: Benutzte Geräte: igitale Schaltungen 1. Überblick

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops.

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops. Sequentielle Schaltungen 1 Dual-Rückwärtszähler synchrone Modulo-n-Zähler Schaltung eines Modulo-5-Zählers Gegenüberstellung der Zählerstände Dezimal- Dezimalziffer C B C B ziffer 0 0 0 0 1 1 1 7 1 0 0

Mehr

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technische Informatik 1 und 2

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technische Informatik 1 und 2 NVERSTÄT LEPZG nstitut für nformatik Prüfungsaufgaben Klausur Wintersemester 000/001 bt. Technische nformatik Prof. Dr. do Kebschull Dr. Paul Herrmann Dr. Hans-Joachim Lieske Datum: 05. Oktober 000 hrzeit:

Mehr

1. Grundlagen der Informatik Boolesche Algebra / Aussagenlogik

1. Grundlagen der Informatik Boolesche Algebra / Aussagenlogik 1. Grundlagen der Informatik Boolesche Algebra / Aussagenlogik Inhalt Grundlagen digitaler Systeme Boolesche Algebra / Aussagenlogik Organisation und Architektur von Rechnern Algorithmen, Darstellung von

Mehr

IT 1 Übung / Kombinatorische Logik1

IT 1 Übung / Kombinatorische Logik1 IT 1 Übung / Kombinatorische Logik1 Lehrziel dieser Übung ist es eine kombinatorische Logikschaltung aufzubauen. Weiters wird die Schaltung simuliert und messtechnisch überprüft. Übungsdurchführung: 1.

Mehr

4^ Springer Vi eweg. SPS-Programmierung. nach IEC in Anweisungsliste. und handlungsorientierte Einführung. Hans-Joachim Adam Mathias Adam

4^ Springer Vi eweg. SPS-Programmierung. nach IEC in Anweisungsliste. und handlungsorientierte Einführung. Hans-Joachim Adam Mathias Adam Hans-Joachim Adam Mathias Adam SPS-Programmierung in Anweisungsliste nach IEC 61131-3 Eine systematische und handlungsorientierte Einführung in die strukturierte Programmierung 4., bearbeitete Auflage

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 4 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 4 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 4 AM 13.11.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Kapitel 3 - PLA und Flip-Flops

Kapitel 3 - PLA und Flip-Flops Kapitel 3 - PLA und Flip-Flops Programmable Logic Array (PLA) Die Idee eines PLAs ist, dass bei der Chipherstellung ein homogenes Feld von Transistoren erzeugt wird. Die eigentliche Funktionalität wird

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Inhaltsverzeichnis Teil I Digitaltechnik Grundlagen: Zahlensysteme, Dualzahlen und Codes Logische Funktionen und Boolesche Algebra

Inhaltsverzeichnis Teil I Digitaltechnik Grundlagen: Zahlensysteme, Dualzahlen und Codes Logische Funktionen und Boolesche Algebra Teil I Digitaltechnik 1 Grundlagen: Zahlensysteme, Dualzahlen und Codes............. 3 1.1 Dezimalzahlensystem.............................. 3 1.2 Bündelung..................................... 4 1.3 Das

Mehr

Technische Informatik I, SS03. Boole sche Algebra, Kombinatorische Logik

Technische Informatik I, SS03. Boole sche Algebra, Kombinatorische Logik Übung zur Vorlesung Technische Informatik I, SS03 Ergänzung Übungsblatt 1 Boole sche Algebra, Kombinatorische Logik Guenkova, Schmied, Bindhammer, Sauer {guenkova@vs., schmied@vs., bindhammer@vs., dietmar.sauer@}

Mehr

Praktikumsanleitung. "Technische Informatik"

Praktikumsanleitung. Technische Informatik Praktikumsanleitung "Technische Informatik" Studiengang: WIW Dr. K. Debes FG Neuroinformatik Kognitive Robotik Dr. K. Henke FG Integrierte Hard- und Softwaresysteme Versuch: Kombinatorische Schaltungen

Mehr