Praktikum DST FPGA 5.Termin Projekterstellung & Simulation
|
|
- Herbert Glöckner
- vor 7 Jahren
- Abrufe
Transkript
1 Praktikum DST (FPGA Teil) 5. Termin Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Erstellung eines Projektes VHDL-Projekt-Design: Software - Simulation eines Projektes Hardware-Simulation Modul 2: counter.vhd Projekt aus 2 Modulen: Projekt: 4 Bit Binär - Sekundenzähler: Projekt: 4 Bit HEX - Sekundenzähler: Projekt: Dezimaler - Sekundenzähler: ( nur wenn noch Zeit ) Quartus II bietet dem Programmierer die Möglichkeit, die Projekte für die Beschreibung der digitalen Systeme in mehreren Design-Sprachen zu erstellen, die erstellten Projekte mit den dafür vorgesehenen Simulationswerkzeuge zu simulieren (z.b. mit ModelSim Altera), um Verhalten der entworfenen digitalen Systemmodelle auf Fehlerfreiheit zu prüfen. Mittels Quartus II können die Projekte auf der Hardware (z.b. auf DE1-Board Altera) simuliert werden. 1. Erstellung eines Projektes. Für die Erstellung eines Projektes in Quartus II-Umgebung gehen Sie folgendermaßen vor: 1. Erstellen Sie unter Windows-Umgebung ein Arbeitsverzeichnis, wo Sie alle Projekte speichern werden. z.b.: M:\DST\T5. 2. Erstellen Sie einen Unterordner für das aktuelle Projekt z.b.: A01_Clock 3. Starten Sie die Quartus II-Designumgebung. 4. Erstellen Sie ein neues Projekt [ File ] [ New Project Wizard ] [Next >]. Geben Sie den Pfad zum Arbeitsverzeichnis (working directory) an, und definieren Sie den Projektnamen (z.b. clock ). Bestätigen Sie die Eingabe mit. Anm.: 1) Tragen Sie Ihren Pfad ein. 2) Bei der Definition des Projektnamens wird der Name der Haupt -Einheit ( Top-Level- Entity ) automatisch gleichgesetzt. (Ein Projekt kann mehrere Einheiten enthalten, wobei nur eine von denen als Haupt -Einheit gesetzt wird) _Praktikum_5_DST_FPGA.doc 1 of Zielinski
2 Termin Praktikum DST (FPGA Teil) 3) Für die Auswahl des Projektnamens sind folgende Regeln zu beachten: Der Name des Projektes muss mit einem lateinischen Buchstaben anfangen. Der Projektnamen, der Umlaute oder Sonderbuchstaben enthält, ist nicht zulässig. Verwendung des _ -Zeichens ist erlaubt, soweit dieses nicht am Anfang und nicht am Ende des Projektnamens steht. Die restlichen Sonderzeichen sind bei Auswahl des Projektnamens auszuschließen. Verwendung von Ziffern ist erlaubt, soweit diese nicht am Anfang des Projektnamens stehen. VHDL-Schlüsselwörter dürfen nicht als Projektnamen verwendet werden. Hier also clock => der main-file in vhdl wäre clock.vhd Im nächsten Fenster können (falls nötig) die Dateien, die nicht zum Projekt gehören aber im Projekt verwendet werden (z.b. WaveForm-Dateien aus den anderen Projekten, die vorher beschriebenen Komponenten ), zum Projekt hinzugefügt werden. Falls es keine solche Dateien gibt, weiter mit. Als nächstes wird die für das Projekt relevante Hardware festgelegt. Für unseres Praktikum wählen Sie stets als Device- Family Cyclone II und als Hardware-Spezifikation EP2C20F484C7 aus. Diese Angaben spezifizieren das DE1-Board, das im Praktikum verwendet wird. Bestätigen Sie die Auswahl mit : Im Project Navigator erscheint das leere Projekt : * Projekt-Navigator verfügt über mehrere Registerkarten. Hier werden einige von denen aufgelistet: 1) Hierarchy - die hierarchische Struktur des Projektes. 2) Files - Auflistung der Dateien, die zum Projekt gehören bzw. die im Projekt genutzt werden. 3) Design Units - Darstellung der einzelnen logischen Einheiten (entities), die im Projekt definiert und verwendet 5. Wählen Sie Design für Ihren Projektentwurf. Über [File] [New ] kann man die verschiedenen Projekt- Designs auswählen Zielinski 2 of _Praktikum_5_DST_FPGA.doc
3 Praktikum DST (FPGA Teil) 5. Termin VHDL-Projekt-Design: VHDL-Tutorium_Link (zum schnellen nachschauen!) Bitte wählen Sie -Option und bestätigen Sie die Auswahl mit. Es erscheint ein VHDL- Editor. Mit diesem Editor können Sie die VHDL-Programme erstellen. Speichern Sie den leeren Editor-File mit [File] [Save as ] unter dem vorgegebenen Namen clock.vhd. Unser Clock-Generator soll im ersten Test die Eingangsfrequenz durch 6 teilen und symmetrisch ausgeben. Wir benutzen die Standart-Library: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; Festlegung der Ports: Die Schnittstelle des VHDL-Modells verfügt über die folgenden Ein- /Ausgangssignale: entity clock is Port ( Clk rst op ); end clock; : in std_logic; : in std_logic; : out std_logic Das Verhalten des Objektes: architecture RTL of clock is -- I used 24MHz constant max_count : natural := 6; begin compute : process(clk,rst) variable count : natural range 0 to max_count; begin if rst = '0' then -- Reset = active low count := 0; op <= '0'; elsif rising_edge(clk) then -- bei jeder Flanke if count < (max_count/2)-1 then op <='1'; count := count + 1; elsif count < max_count-1 then op <='0'; count := count + 1; else -- Periode fertig => neu count := 0; op <='1'; end if; end if; end process compute; end RTL; 6. Inhalt als Textfile ist P: Ordner als A01_clock.vhd.txt zu finden! 7. Kopieren Sie den Inhalt in Ihren main-file clock.vhd und speichern Sie alles. 8. Kompilieren Sie das komplette Projekt. Das Kompilieren wird durch [Processing] [Start Compilation] oder [Strg L] oder direkt mit dem Symbol auf der Quartus II Standard-Leiste aufgerufen. ist OK! 10 Warnungen sind durch nicht erworbene Lizenzen und eine besagt, dass die Objekte nicht angeschlossen sind! _Praktikum_5_DST_FPGA.doc 3 of Zielinski
4 Termin Praktikum DST (FPGA Teil) 3. Software - Simulation eines Projektes. Die Simulation eines Projektes kann sowohl softwaretechnisch als auch hardwaretechnisch erfolgen. Hier betrachten wir die beiden Simulationsarten. 1. Software-Simulation Um die Software-Simulation zu ermöglichen, verfügt Quartus II über den integrierten WaveForm-Editor. Diesen kann man unter [File] [New] [University Program VWF] aufrufen. Im Rahmen des Praktikums wird ModelSim-Simulator verwendet. Es erscheint das folgende Fenster: Bei der Softwaresimulation gehen Sie wie folgt vor: a) Ein-/Ausgabepins einfügen: [Edit] [Insert] [Insert Node or Bus ] [Node Finder] [List] Hier können Sie die nötigen Pins markieren und nach rechts mit Taste Pins ausgewählt werden, drücken Sie die Taste. schieben. Sollen alle b) Die ausgewählten Pins werden dem Simulationsbild hinzugefügt. Die Simulationsdarstellung sieht wie folgt aus: Zielinski 4 of _Praktikum_5_DST_FPGA.doc
5 Praktikum DST (FPGA Teil) 5. Termin Alle Eingangssignale haben LOW( 0 )-Pegel, der Wert des Ausgangssignals ist noch nicht bestimmt und daher unbekannt. c) Jetzt können die Werte für die Eingangssignale in den bestimmten Zeitintervallen definiert werden. Dies geschieht durch Markierung des relevanten Zeitintervalls und Auswahl des logischen Pegels. Die Auswahl des logischen Pegels erfolgt durch die Symbole. Stellen Sie Reset [rst] auf Passive also 1. Die Taster sind auch hier mit negativer Logik implementiert! d) Das Symbol bietet die Möglichkeit die periodischen Eingangssignale zu definieren. Stellen Sie [Clk] auf 40 ns ein. e) Nach der Definition der Eingangssignale kann die erstellte Wave-Form-Datei simuliert werden. Es gibt die folgenden Simulationsmöglichkeiten: Funktionale Simulation : Simulation der funktionalen Logik eines digitalen Systems. Zeitliche Simulation : Simulation der Logik eines digitalen Systems unter Berücksichtigung der Laufzeiten (der zeitlichen Verzögerungen bei der Bearbeitung der Signale durch logische Gatter). Der simulierte Schaltplan sieht wie folgt aus: _Praktikum_5_DST_FPGA.doc 5 of Zielinski
6 Termin Praktikum DST (FPGA Teil) 4. Hardware-Simulation Für die Hardware-Simulation muss man die Device-Familie und den Device-Namen im Projekt festlegen. Schließen Sie die Softwaresimulationen! Falls bei der Erstellung des Projektes die Hardware nicht definiert oder falsch definiert wurde, kann deren Spezifikation unter [Assignments] [Device] festgelegt oder geändert werden. Für unseres Praktikum ist Device EP2C20F484C7 der Familie Cyclone II von Bedeutung. Es sind die folgenden Optionen zu wählen: Diese Bezeichnung definiert das Altera DE1 Board, das im Praktikum verwendet wird. Bei der Hardwaresimulation gehen Sie wie folgt vor: a) Wählen Sie die Ein- /Ausgangspins, die für die Simulation notwendig sind. Dies geschieht durch Aufruf des Assignment-Editors: [Assignments] [Assignment Editor]. Durch Doppelklick mit der linken Maustaste in der Spalte [To] auf [<<new>>] sehen Sie das Fernglas-Symbol. Ein Klick mit der linken Maustaste auf das Symbol ruft das Node Find - Fenster auf. In dem Fenster wählen Sie als Filter-Option aus, und drücken Sie auf [List]. Es erscheint das folgende: Die einzelnen Pins können hier markiert und mit der Taste nach rechts als Selected Nodes verschoben werden. Sollen alle Pins ausgewählt werden, drücken Sie die Taste. Bestätigen Sie Ihre Auswahl mit. Der Assignment-Editor sieht jetzt wie folgt aus: Zielinski 6 of _Praktikum_5_DST_FPGA.doc
7 Praktikum DST (FPGA Teil) 5. Termin b) Starten Sie jetzt den Pin-Planer mit [Assignments] [Pin Planner] : In Location geben Sie nun die PIN-Namen PIN_xx (nur B12, R20 und R22 eingeben!) an dehnen die Signale an die Außenwelt gelangen sollen (s.u.) an. c) In der Spalte Location tragen Sie die Schlüsselwörter für die DE1 Board-Pins. Z.B. für den Eingang rst sollte Taster0 KEY0 belegt werden. So tragen Sie in Location das Schlüsselwort PIN_R22 ein. Bestätigen Sie die Eingabe mit der Eingabetaste. clk an CLOCK_24[1] PIN_B12 Eingangstakt 24 MHz op an LEDR0 PIN_R20 Ausgangstakt 24MHz / Faktor rst an KEY0 PIN_R22 Reset Taste (invertierte Logik aktiv 0 ) s. u.!!! Um die LED mit 1Hz blinken zu lassen, muss max_count natürlich auf stehen!!!! Den rst natürlich im Programm als 0 erkennen! d) Hier können die Pins auf dem DE1-Board für die Ein-/Ausgangssignale belegt werden. Das DE1-Board verfügt über: 4 Tasten (KEY0,, KEY3) entprellt und neg. Logik 10 Schiebeschalter (SW0,, SW9) 8 grüne Leuchtdioden (LEDG0,, LEDG7) 10 rote Leuchtdioden (LEDR0,, LEDR9) 4 Siebensegmentanzeigen (HEX0,, HEX3) 3 Frequenzgeber (24 MHz, 27 MHz, 50 MHz) Die Pinbelegung des im Praktikum verwendeten DE1-Boards ist folgende: Tasten Pinbelegung KEY3* KEY2* KEY1* KEY0* T21 T22 R21 R22 Schiebeschalter Pinbelegung *Besonderheiten bei der Verwendung der Tasten KEY0..KEY3: Die mit den Tasten KEY0..KEY3 erzeugten Signale werden als active low betrachtet: Taste Signalwert nicht gedrückt 1 gedrückt _Praktikum_5_DST_FPGA.doc 7 of Zielinski
8 Termin Praktikum DST (FPGA Teil) SW9 SW8 SW7 SW6 SW5 SW4 SW3 SW2 SW1 SW0 L2 M1 M2 U11 U12 W12 V12 M22 L21 L22 Leuchtdioden GRÜN Pinbelegung LEDG LEDG LEDG LEDG LEDG LEDG LEDG LEDG Y21 Y22 W21 W22 V21 V22 U21 U22 Leuchtdioden ROT Pinbelegung LEDR LEDR LEDR LEDR LEDR LEDR LEDR LEDR LEDR LEDR R17 R18 U18 Y18 V19 T18 Y19 U19 R19 R20 7-Segmentanzeige Pinbelegung HEX3 HEX2 HEX1 HEX0 HEX3[0] F4 HEX2[0] G5 HEX1[0] E1 HEX0[0] J2 HEX3[1] D5 HEX2[1] G6 HEX1[1] H6 HEX0[1] J1 HEX3[2] D6 HEX2[2] C2 HEX1[2] H5 HEX0[2] H2 HEX3[3] J4 HEX2[3] C1 HEX1[3] H4 HEX0[3] H1 HEX3[4] L8 HEX2[4] E3 HEX1[4] G3 HEX0[4] F2 HEX3[5] F3 HEX2[5] E4 HEX1[5] D2 HEX0[5] F1 HEX3[6] D4 HEX2[6] D3 HEX1[6] D1 HEX0[6] E2 Anm.: Punkt ist durch Pin nicht definiert und kann deshalb nicht genutzt werden. Frequenzgeber Pinbelegung EXT_CLK 24 MHz 50 MHz 27 MHz CLOCK_24[0] A12 CLOCK_27[0] D12 M21 L1 CLOCK_24[1] B12 CLOCK_27[1] E12 e) Nach der Belegung der Pins für alle Ein-/Ausgangssignale speichern Sie alles nochmal ab und kompilieren Sie das gesamte Projekt. ist ok! f) Jetzt muss DE1-Board programmiert werden. Zu diesem Zweck rufen Sie unter Tools das Programmer -Fenster auf oder einfach : Falls das Board noch nicht benutzt wurde erscheint : No Hardware muss das Hardware Setup durchgeführt werden. Currently selected hardware: auf USB-Blaster. Bitte überzeugen Sie sich, dass für die Hardware die Bezeichnung USB-Blaster [USB-x] eingetragen ist. Sollte dies nicht der Fall sein, wählen Sie die Hardware mithilfe der Taste Hardware-Setup aus. Dann mit beenden! Zielinski 8 of _Praktikum_5_DST_FPGA.doc
9 Praktikum DST (FPGA Teil) 5. Termin Mit der Taste fügen Sie die Datei ein, mit der das DE1-Board programmiert werden soll. Diese Datei hat eine Endung *.sof und befindet sich in der Regel im Ordner output_files im Projektverzeichnis. Nach dem erfolgreichen Einfügen dieser Datei hat das Programmer -Fenster die folgende Ansicht: g) Starten Sie den Programmiervorgang mit der Taste [ ] und testen Sie die Funktion Ihres Programms. h) Für nachfolgende Projekte benötigen wir lediglich den File clock.vhd! _Praktikum_5_DST_FPGA.doc 9 of Zielinski
10 Termin Praktikum DST (FPGA Teil) 5. Modul 2: counter.vhd Erstellen Sie auf gleiche Weise, in einem neuen Projekt mit dem Namen counter in einem neuen Ordner, einen 4-Bit-Binär-Zähler, dessen 4 Ausgänge Binär zur Verfügung stehen und einen enable-eingang besitzt. library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter is port( clk: in std_logic; reset: in std_logic; enable: in std_logic; count: out std_logic_vector(3 downto 0) ); end counter; architecture behav of counter is signal pre_count: std_logic_vector(3 downto 0); begin process(clk, enable, reset) begin if reset = '1' then pre_count <= "0000"; elsif (clk='1' and clk'event) then if enable = '1' then pre_count <= pre_count + "1"; end if; end if; end process; count <= pre_count; end behav; Inhalt als Textfile ist P: Ordner als A05_counter.vhd.txt zu finden! Führen Sie lediglich die Softwareanalyse des aktuellen Projektes durch. Testen Sie zuerst mit 40ns clk, enable = 1, und reset = 0. Dann mit Aktivität der beiden Eingänge z.b.: Zielinski 10 of _Praktikum_5_DST_FPGA.doc
11 Praktikum DST (FPGA Teil) 5. Termin Projekt aus 2 Modulen: Erstellen ein komplett neues Projekt zaehler im neuen Ordener A06_zaehler_clock. Kopieren Sie Ihren funktionierenden clock.vhd Files in diesen Ordner. Legen Sie den main-file Zaehler zaehler.vhd an. Importieren Sie Ihr vhld Objekt clock.vhd in den Project Navigator s.r.. mittels rechte Maustaste auf [Add/Remove Files ] auswählen und. Importieren Sie die nachfolgenden Zeilen Block für Block in zaehler.vhd. --- Includes library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; --- PINS im main - Modul ENTITY zaehler IS PORT ( CLOCK_24 KEY LEDR ); END zaehler; : IN STD_LOGIC; : IN STD_LOGIC; : OUT STD_LOGIC --- Wie haengt alles zusammen ARCHITECTURE Behavior OF zaehler IS --- Headerfiles COMPONENT clock PORT( Clk rst op ); END COMPONENT; : IN STD_LOGIC; : in std_logic; : out std_logic --- Signal - Zwischen - Bezeichner SIGNAL rst SIGNAL clk SIGNAL op : STD_LOGIC; : STD_LOGIC; : STD_LOGIC; --- Zuweisungen BEGIN rst clk LEDR <= NOT(KEY); <= CLOCK_24; <= op; --- Object - Zuweisungen m_clock: clock PORT MAP (clk, rst, op); END Behavior; _Praktikum_5_DST_FPGA.doc 11 of Zielinski
12 Termin Praktikum DST (FPGA Teil) Laden Sie im Assignment Editor alle Pins! Ordnen Sie im PIN-Planer die Location zu (s.r. oder s.o.)! Prog-File = M:\DST\T5\A06_zaehler_clock\output_files\zaehler.sof Projekt neu übersetzen und hardwaremäßig testen bis alles klappt. LEDR[0] blinkt mit 1 Hz. Wenn KEY[0] betätigt wird hört es auf. Blockschaltbild: [Analysis&Synthesis] [Netlist Viewers] [RTL Viewer] Zielinski 12 of _Praktikum_5_DST_FPGA.doc
13 Praktikum DST (FPGA Teil) 5. Termin Projekt: 4 Bit Binär - Sekundenzähler: Speichern Sie Ihr Project 6. Kopieren Sie den Ordner => A07_Zaehler. Fahren Sie jetzt mit dem Projekt fort, indem Sie Ihre counter.vhd in das System implementieren. Der counter hat als Input Takt den op Takt vom clock, reset von KEY[0] und enable KEY[1]. Output soll zu den LEDR[1..4] geleitet werden. Gehen Sie vor wie unter LEDR besteht jetzt also aus 5 Bit LEDR : OUT STD_LOGIC_VECTOR(4 downto 0) --- Headerfiles noch COMPONENT counter hinzufügen Signal - Zwischen - Bezeichner SIGNAL cclk : STD_LOGIC; SIGNAL cena : STD_LOGIC; SIGNAL ccnt : STD_LOGIC_VECTOR(3 downto 0); --- Zuweisungen cclk cena LEDR <= op; <= KEY(1); <= ccnt( 3 downto 0) & op; --- Object - Zuweisungen m_counter: counter PORT MAP (cclk, rst, cena, ccnt); Da wir KEY und LEDR von Binär auf VECTOR umdefiniert haben sollten wir die Assignments erst mal löschen und dann wenn keine Fehler mehr da sind neu hinzufügen. Testen Sie die komplette Funktionalität mit reset und enable _Praktikum_5_DST_FPGA.doc 13 of Zielinski
14 Termin Praktikum DST (FPGA Teil) 8. Projekt: 4 Bit HEX - Sekundenzähler: Speichern Sie Ihr Project 7. Kopieren Sie den Ordner => A08a_Zaehler. Jetzt den gegebenen File LED7Seq.vhd in das neue Projekt einbinden. BIN ist eine 4-Bit-Binär-Zahl deren Hex- Wert in der 7-Segmentanzeige erscheint. Fügen Sie die Initialisierung, das Routing und den Aufruf ins main zaehler.vhd ein. --- Die main-ports HEX0 : OUT STD_LOGIC_VECTOR(6 downto 0); --- Der Objekt - Aufbau COMPONENT LED_anzeige_hex is PORT( BIN : in std_logic_vector(3 downto 0); LED_HEX : out std_logic_vector(6 downto 0) ); END COMPONENT; --- Signal - Zwischen - Bezeichner SIGNAL SEG7_DIG : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL HEXS0 : STD_LOGIC_VECTOR(6 downto 0); --- Zuweisungen HEX0 <= HEXS0; SEG7_DIG <= ccnt; --- Object - Zuweisungen m_hex0: LED_anzeige_hex PORT MAP (SEG7_DIG, HEXS0); Nachdem Ihr Programm Fehlerfrei kompiliert ist, müssen Sie noch die PINs zuweisen. Die neuen PINs verbinden. 9. Projekt: Dezimaler - Sekundenzähler: ( nur wenn noch Zeit ) 9a. Bauen Sie im neuen Projekt den Sekundenzähler counter.vhd so um, dass er dezimal zählt also im (BCD-Code). 9b. Jetzt den Zähler so erweitern, dass er bis zu einem variablen übergebenen End-Wert zählt. 9c. Erstellen Sie mit einer zusätzlichen HEX-Anzeige die Sekundenausgabe der Uhr. Ende des FPGA - Praktikums für das WS 2016 / Zielinski 14 of _Praktikum_5_DST_FPGA.doc
Praktikum DST FPGA 5.Termin Projekterstellung & Simulation
Praktikum DST (FPGA Teil) 5. Termin 17.12.2015 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....
MehrLaborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch
Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis
MehrÜbungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009
Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen
MehrLaborübung 4. Zustandsautomaten (Finite State Machines)
Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit
MehrD i g i t a l l a b o r
Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel Arbeiten mit
MehrEinführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.
2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen
MehrÜbung 5: VHDL Zähler
Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl
MehrInstitut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v1.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex
UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3. Semester - WS 2002 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-0341-97 32213 Zimmer: HG
Mehr17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1
7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen
MehrSchülerseminar Programmieren einer Ampelsteuerung
Prof. G. Kemnitz Institut für Informatik 23. April 2016 1/17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 Prof. G. Kemnitz Institut für Informatik
Mehr12 VHDL Einführung (III)
12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'
MehrTutorial zur MAX+PLUS II Baseline Software von Altera
Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,
MehrEine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.
Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx
MehrÜbungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr
Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch 10.05.2017, 14:00 Uhr 1.1. Einführung In dieser Übung werden Sie ein VHDL-Modul
MehrPraktikum Systementwurf mit VHDL HDL Design Lab
Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit
MehrVersuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit
HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)
MehrName: DT2 Klausur
Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist
MehrEHP Einführung Projekt A
Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung
MehrEinführung in die technische Informatik
Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language
MehrÜbungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform
Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als
MehrD.5 Versuchsreihe 5: Arithmetisch-Logische Einheit
D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)
MehrFPGA-Entwurf mit VHDL. Serie 3
Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie
MehrSchritt 1 : Das Projekt erstellen und programmieren des Zählers
Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu
MehrInstitut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v5.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex
UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 4. Semester - SS 2006 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-0341-97 32213 Zimmer: HG
MehrÜbung Hardwareentwurf
Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung
MehrEinführung in die Quartus II/Quartus Prime Lite Software und die Altera-Boards. Inhaltsverzeichnis. Vorbemerkungen. 1 Software. 1.
Fakultät für Physik Prof. Dr. M. Weber, Dr. K. Rabbertz B. An, B. Oldenburg, T. Schuh, B. Siebenborn 2. November 2016 Einführung in die Quartus II/Quartus Prime Lite Software und die Altera-Boards Inhaltsverzeichnis
MehrComputergestützter IC- Entwurf
FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2
MehrI EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK
I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle
MehrHardware Praktikum 2008
HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf
MehrArbeiten mit XILINX - ISE - WebPACK
FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen
MehrLeitfaden für Transformator-Simulation mit LTSpice
Leitfaden für Transformator-Simulation mit LTSpice 1. Laden Sie die LTspice-Software herunter LTspiceXVII. 2. Die Software wird im Verzeichnis C: gespeichert. Sie können auch einen anderen Pfad wählen,
MehrEinführung in Automation Studio
Einführung in Automation Studio Übungsziel: Der links abgebildete Stromlaufplan soll mit einer SPS realisiert werden und mit Automation Studio programmiert werden. Es soll ein Softwareobjekt Logik_1 in
MehrEntwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl
Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann
MehrAusarbeitung zum ETI Praktikum
Ausarbeitung zum ETI Praktikum Aufgabe 3.1 (VHDL) eingereicht an der Technischen Universität München Lehrstuhl X: Rechnertechnik und Rechnerorganisation Prof. A. Bode SS 2006 Betreuer: Dipl.-Inf. Daniel
MehrD.4 Versuchsreihe 4: Integrierte Logikbausteine
.4 Versuchsreihe 4: Integrierte Logikbausteine Abgabedatum: 14.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In den folgenden Versuchsreihen soll ein einfacher
MehrEinführungsbeispiel in das Programmiersystem CoDeSys
Einführungsbeispiel in das Programmiersystem CoDeSys Um die Vorgehensweise der Projekterstellung in CoDeSys zu verstehen, soll ein ganz einfaches Programm erstellt werden: Zwei binäre Eingangssignale sollen
MehrPraktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur
Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation
MehrComputergestützter IC- Entwurf
FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...
Mehr18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt
8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach
MehrÜbung 3: VHDL Darstellungen (Blockdiagramme)
Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei
Mehr2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers
Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers
MehrEinführung in die Quartus II Software und die Altera-Boards. Inhaltsverzeichnis. Vorbemerkungen. 1.1 Installation der Software
Fakultät für Physik Prof. Dr. H. Gemmeke A. Schmidt, J. Erfle, M. Frey, Dr. F. Hartmann 14. Dezember 2007 Einführung in die Quartus II Software und die Altera-Boards Inhaltsverzeichnis 1.1 Installation
MehrAnleitung ModelSim. ModelSim SE6.3j, PE (Mentor Graphics) Designflow. 1/14 Aktualisiert: 11/2012 Pal
Anleitung ModelSim ModelSim SE6.3j, PE (Mentor Graphics) Designflow 1/14 Aktualisiert: 11/2012 Pal Inhaltsverzeichnis 1 Konfiguration 3 1.1 Projekterstellung 3 1.2 Einbinden von Dateien in das Projekt
MehrEinführung in VHDL (2)
Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung
MehrMögliche Praktikumsaufgaben
Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.
MehrHTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD.
Versuch PLD Anleitung zum Bedienen der Entwurfssoftware StateCAD am Beispiel einer Ampelsteuerung Prof. Dr. W. Reinhold, HTWK Leipzig 01.06.16 1 Inhaltsverzeichnis 1 STATECAD... 2 2 STATEBENCH... 15 1
MehrBOOTLOADER für AVR-Entwicklungsmodule
BOOTLOADER für AVR-Entwicklungsmodule mit ATxmega128A1 mit ATxmega256A3 Verzeichnis 1. Einleitung 3 2. Einstellungen 4 2.1. Fuse Bits 4 2.2. AVROSP Programm 5 2.2.1. Erläuterung der BAT-Dateien 5 2.2.2.
MehrGTI Bonus VHDL - EXTRA
1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)
Mehr2. Aufgabenblatt
Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 2. Aufgabenblatt 28.04.2010 Aufgabe 1: Installation Xilinx ISE Als erstes muss die Entwicklungsumgebung ISE installiert werden. Die
Mehr5.Vorlesung Rechnerorganisation
Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,
MehrAnleitung für VHDL tools
Anleitung für VHDL tools Harald Affenzeller V 1.0.0 Email: Harald.Affenzeller@fh-hagenberg.at Hagenberg, 14. Oktober 2003 Zusammenfassung Dieses Dokument stellt eine Anleitung zur Verwendung von eingesetzten
MehrReconfigurable Computing. VHDL Crash Course. Chapter 2
Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits
MehrPraktikum DST (MRT Teil) 1. Termin
Praktikum DST (MRT Teil) 1. Termin 19.10.2016 Praktikum DST MRT 1.Termin Inhalt Praktikum DST MRT 1.Termin... 1 1. Rechneranmeldung... 1 1.1 Einfachste Ein-/ Ausgabe ( 1_01_In_Out )... 2 1.1.1 Code aus
MehrTipps und Tricks für die Capture DB. Sammlung wichtiger Tipps und Tricks
Titel: Produkt: Summary: Tipps und Tricks für die Capture DB OrCAD Capture Sammlung wichtiger Tipps und Tricks Autor/Date: Beate Wilke /8.1.2015 Version 1.2 Inhaltsverzeichnis 1 NC Pins... 2 1.1 NC Property...
Mehrmyavr Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg für myavr Board MK3
myavr Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg für myavr Board MK3 Zielstellung Dieser Schnelleinstieg demonstriert das Arbeiten mit dem Klassendiagramm in SiSy AVR mit der Bibliothek für das
MehrÜbungsblatt 8 Lösungen:
Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT
MehrSimulieren der Unisim Library mit Hilfe des Simulator GHDL
Simulieren der Unisim Library mit Hilfe des Simulator GHDL René Doß http://www.dossmatik.de 22. Januar 2010 GHDL ist ein frei verfügbarer Simulator, der in der Entwicklung einsatzfähig ist. Das Tool ist
MehrKonfiguration des MIG für DDR2-SDRAM Ansteuerung
Konfiguration des MIG für DDR2-SDRAM Ansteuerung Verwendete Ressourcen: Board: Spartan-3A/3AN FPGA Starter Kit Board FPGA: XC3S700AN Speicher: Micron Technology DDR2-SDRAM (MT47H32M16) Software: - ISE
MehrName: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.
Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist
MehrMikrocontrollertechnik. F5 Erstes Programm. AVR Studio 4
In diesem Kapitel wird erklärt wie man möglichst schnell mit dem Programm Studio 4 von Atmel und einem ISP Programmer einen ATmega Controller programmiert. Es ist kein umfassendes Tutorial zum Programm
MehrArbeiten mit der Software STEP 7 und den Laborarbeitsplätzen SIMATIC S7-313C
Arbeiten mit der Software STEP 7 und den Laborarbeitsplätzen SIMATIC S7-313C Übersicht Aufbau und Bedienung der S7-313C STEP 7 mit SIMATIC Manager Anlegen eines Projektes Variante mit Assistent Variante
MehrEinführung in Altera Quartus II 11.0
Einführung in Altera Quartus II 11.0 Version 0.1 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum
MehrAnleitung zum Umgang:
Hier finden Sie ein von mir empfohlenes Programm. Es heißt: QuickLaunch Sie finden hierzu nähere Information im Internet unter: http://www.oliver-frietsch.de/quicklaunch/?lng=de Funktion des Programmes:
MehrFAQ Kommunikation über PROFIBUS
FAQ Kommunikation über PROFIBUS S7-Kommunikation über PROFIBUS FAQ Inhaltsverzeichnis Inhaltsverzeichnis... 2 Frage... 2 Wie projektieren Sie eine S7-Verbindung für den SIMATIC NET OPC- Server über PROFIBUS
Mehr1. Beschreibung der Aufgabe
c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `
MehrHaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen
HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers
MehrIBM Software Demos Rational Systems Developer Introduction
Heute sehen wir uns den IBM Rational Systems Developer näher an, der Teil der IBM Rational Software Delivery Platform ist. IBM Rational Systems Developer richtet
MehrAnleitung zu ChipScope Pro
Anleitung zu ChipScope Pro Das von Xilinx gelieferte Tool ChipScope Pro erlaubt die Implementierung eines Logic Analysator Kerns auf dem Spartan III Baustein. 1.1 Erstellen eines Logic Analysator Kerns
MehrProjekt Codeschloss 01. Juli 2010
Belegarbeit im Fach Entwurf integrierter Schaltungen und Systeme (SoS 2010) Projekt Codeschloss 01. Juli 2010 B.Eng. René Schwarz Matrikel-Nr. E-Mail: mail@rene-schwarz.com B.Eng. Ralph Steinert Matrikel-Nr.
MehrErstellen und Verwalten von Karteikarten für imcards am iphone / ipod touch
IMCARDSPC Erstellen und Verwalten von Karteikarten für imcards am iphone / ipod touch You 2 Software E-Mail: info@you2.de Homepage: http://www.you2.de You 2 Software 2010 imcardspc Handbuch 1-9 Haupt-Fenster
MehrKurzanleitung für den MyDrive Client
Kurzanleitung für den MyDrive Client Hinweis: Prüfen Sie bei Problemen zuerst, ob eine neuere Version vorhanden ist und installieren Sie diese. Version 1.3 für MAC und Linux (29.06.2017) - Hochladen mit
MehrInhalt. Dokument Beschreibung. Bentley Technical Support ProStructures.Net - Zusatzprogrammierung Visual Basic Express PST_Bearbeitung_Dialog
Bentley Technical Support ProStructures.Net - Zusatzprogrammierung Inhalt Dokument Beschreibung... 1 Windows Form einfügen... 2 Steuerelemente einfügen... 2 Steuerelemente Titel und Name... 3 Dialog Laden
MehrFPGA-Projektentwicklung mit Quartus
FPGA-Projektentwicklung mit Quartus Von Paul Goossens Schritt für Schritt zum Ziel Das Einarbeiten in neue Software erfordert stets einige Zeit und Übung. In diesem Dokument geben wir Newcomern Hilfestellung
MehrÜberblick: Programmierung der USB-Boards. Erstmalige Inbetriebnahme. Programmiervorgang:
Überblick: Programmierung der USB-Boards Erstmalige Inbetriebnahme Flip 2.4.6 installieren, Installationspfad ohne Punkte und Leerzeichen wählen! Board an die USB-Schnittstelle anschließen Schiebeschalter
MehrEclipse Tutorial.doc
Berner Fachhochschule Hochschule für Technik und Informatik, HTI Fachbereich Elektro- und Kommunikationstechnik Labor für Technische Informatik Eclipse Tutorial 2005, HTI Burgdorf R. Weber Dateiname: Eclipse
MehrBaugruppen Teilefamilie
Baugruppen Teilefamilie Version: NX 8.5 Ersteller: Sebastian Höglauer Vorgehensweise: Ein neues Teil anlegen und am besten als Master- oder Mutterteil bezeichnen. In unserem Beispiel wird zuerst eine Platte
MehrIHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1
IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale
MehrDie Baugruppen der VIPA GmbH sind direkt im Hardwarekonfigurator verfügbar und können so vereinfacht konfiguriert werden.
1 Einleitung. WinSPS-S7 V5 ist eine moderne Programmiersoftware für S7-Steuerungen. Unterstützt werden S7- Steuerungen von SIEMENS (S7-300, S7-400) und S7-Steuerung der Firma VIPA GmbH (System100V, System200V,
MehrSCHNELLEINSTIEG ZUM TOOL NODEBUILDER
Fakultät Informatik, Institut für Angewandte Informatik, Professur Technische Informationssysteme SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Betreuer: Dipl.-Ing. A. Cemal Özlük Dipl.-Inf. Uwe Ryssel ALLGEMEINE
MehrVHDL Simulation. in ORCAD
VHDL Simulation in ORCAD V1.0 Graz, Jänner 2002 Inhaltsverzeichnis 1 Einleitung 1 1.1 Simulation und Verifikation 2 1.2 Entwurfsqualität 2 1.3 Begriffe in der Elektronik und ihre Äquivalenz zu VHDL 3 1.4
Mehr3. Prozesse in VHDL 1
3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:
MehrÜbung 7: VHDL Automaten
Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten
MehrEntwurf digitaler Systeme
Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.
MehrDigitallabor Aufgabe 5.4 Lauflicht
Digitallabor Aufgabe 5.4 Lauflicht Teilnehmer: Natalia Springer, Tong Cha 5.4.1 Lauflicht Aufgabenstellung Aus den Dioden D1..D8 ist ein Lauflicht zu erstellen. Es soll eine Diode leuchten. Bei jeder positiven
Mehr15 Einführung in den Entwurf von Zustandsautomaten
15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können
MehrName: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.
Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist
MehrSimulation von in VHDL beschriebenen Systemen
Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler
Mehr3 Maps richtig ablegen und
PROJEKT MindManager 2002 Intensiv 3 Maps richtig ablegen und speichern Ihre Map ist nun fertig gestellt wenn Sie jetzt Ihre Arbeit mit MindManager beenden, ohne die aktuellen Eingaben und Formatierungen
MehrSemestralklausur Einführung in Computer Microsystems
Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:
Mehr14. Es ist alles Ansichtssache
Ansicht erstellen mit Suche nach Wörtern im Betreff 14. Es ist alles Ansichtssache In Outlook wird praktisch alles mit Ansichten gesteuert. Wir haben bereits einige Standardansichten verwendet. Das Schöne
MehrSoft Core Prozessor Nios II. Konfiguration, Einbettung und Programmierung
FACHHOCHSCHULE KÖLN FAKULTÄT FÜR INFORMATIONS-, MEDIEN UND ELEKTROTECHNIK REGELUNGSTECHNIK PROF DR J O KRAH Gruppe: DSF Praktikum Thema des Versuchs : Soft Core Prozessor Nios II Konfiguration, Einbettung
MehrD i g i t a l l a b o r
Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel D i g i t a
MehrPG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14
PG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14 Einführung Benötigtes Material: Notebook oder Computer PCD1 E-Controller USB Kabel Schulungsplatine Ethernet Kabel Benötigte Software
MehrC++ mit dem Arduino (Uno und Mega2560)
C++ mit dem Arduino (Uno und Mega2560) 1. Toolchain Als toolchain bezeichnet man die Reihe von Software, die nötig ist um den Prozessor zu programmieren, das Ergebnis draufzuladen und dann zu testen. Hier
MehrD.6 Versuchsreihe 6: Registersatz und Programmzähler
D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor
MehrEntwurf und Simulation einfacher Logikelemente
Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................
MehrAnleitung für die Installation des USB Netzwerkkabels unter WIN2000 :
Anleitung für die Installation des USB Netzwerkkabels unter WIN2000 : Lieferumfang : USB-Netzwerkkabel Treiber-Diskette Deutsche Installationsanleitung Produktbeschreibung : Das USB-Netzwerkkabel ermöglicht
MehrStartseite. Primadenta Joomla. Unterseite
Startseite Primadenta Joomla Unterseite Inhaltsverzeichniss Punkt 1: Inhalt der Seite bearbeiten Punkt 2: Wußten Sie schon einen neuen Beitrag anfügen Punkt 3: Neuen Punkt in der Navigation anlegen Punkt
Mehr