Zugriff auf die serielle Schnittstelle im Pollingbetrieb

Größe: px
Ab Seite anzeigen:

Download "Zugriff auf die serielle Schnittstelle im Pollingbetrieb"

Transkript

1 Zugriff auf die serielle Schnittstelle im Pollingbetrieb Wie funktioniert prinzipiell die serielle Schnittstelle? Wie der Name schon andeutet, werden bei der seriellen Schnittstelle die Daten nacheinander über die Leitung geschickt. Die Elektronik der Schnittstelle enthält einen speziellen Chip, den sogenannten UART. Dieser nimmt die Daten vom PC entgegen, speichert sie in einem Schieberegister ab und sendet dann die Datenbits nacheinander über die Leitung TXD (transmit data) an das angeschlossene Gerät. Dieses antwortet auf die gleiche Weise mit Daten über die RXD- Leitung (receive data). Daneben gibt es weitere Leitungen mit besonderen Funktionen. Zum Beispiel wird über das Leitungspaar RTS / CTS (request to send / clear to send), das über Kreuz mit dem angeschlossenen Gerät verbunden ist, ausgetauscht, ob ein Buffer droht überzulaufen. In dem Falle kann der Gegenstelle mitgeteilt werden, den Datentransfer vorübergehend zu stoppen. In diesem Zusammenhang muss man wissen, dass bei einem Buffer-Overrun Daten verloren gehen. Sofern man sicher ist, dass ein Overrun nicht auftreten kann, kann man auf diese Leitungen verzichten. Auf weitere Leitungen soll in diesem Zusammenhang nicht weiter eingegangen werden. Informationen findet man in der Literatur oder im Internet. Wie konfiguriert man die serielle Schnittstelle? Der UART-Chip besitzt eine Reihe von Registern, die zu benutzen sind. Im weiteren Text findet man eine Übersicht. Bei der Konfiguration hat sich folgende Vorgehensweise bewährt: 1. Für den Pollingbetrieb muss man zunächst die Interrupt-Steuerung abschalten. Dazu adressiert man das IIR (Interrupt Enable Register) und sendet an diesen Port (COM-Port- Adresse +1) an alle s (Speicherzellen) dieses Registers den Wert 0, indem man das Byte 0x00 auf das IIR schreibt. 2. Um die Baudrate (Geschwindigkeit in /s) einzustellen, müssen zunächst die Baudraten- Register DLL und DLH freigeschaltet werden. Hier reicht die einfache Adressierung nicht aus. Um die Divisor-Latches (DL) zu erreichen, muss das DLAB (Divisor Latch ) auf 1 gesetzt werden. Man findet es im LCR (Line Control Register) in 7. Um dieses zu setzen, sendet man also 0x80 an die Adresse des LCR (COM-Port-Adresse +3). 3. Dann wird in die beiden Register DLL und DLH je ein Byte gespeichert. Diese Bytes stehen für einen Divisor x, der errechnet wird durch x = / Baudrate. Für 4800 Baud ist der Divisor 24. Das entspricht einem Hex-Wert von 18h. Bei dieser Baudrate ist das HighByte also 00h und das LowByte 18h. Weitere Werte für andere Baudraten findet man später in der entsprechenden Tabelle. Nach dem Setzen des DLAB (siehe Pkt. 2) speichert man das HighByte in das Register DLH und das LowByte in das Register DLL. Die Port-Adressen sind der entsprechenden Register-Tabelle zu entnehmen, die später folgt. 4. Nun sind noch die Wortlänge, die Anzahl der Stoppbits und die Parität zu speichern. Diese Einstellungen werden im LCR (Line Control Register) vorgenommen. Gleichzeitig sollte man das DLAB wieder auf 0 zurücksetzen, da die Baudraten-Einstellung abgeschlossen und später die beiden Register THB (Transmitter Holding Buffer) und RBR (Receiver Buffer) wieder verfügbar sein müssen. Eine Einstellung (8, ungerade Parität, 1 Stoppbit) entspricht dem Wert Es müsste also 0Bh in das LCR geschrieben werden. 1

2 5. Schließlich sollte am besten noch das FCR (FIFO Control Register) aufgeräumt werden, in dem man an den Port des FCR das Byte 07h sendet. Damit werden die s 0 bis 3 gesetzt. 1 und 2 stellen sich kurz danach selbständig wieder auf 0 zurück. Nun ist das FIFO leer und betriebsbereit. Damit ist die serielle Schnittstelle für den Pollingbetrieb fertig konfiguriert. Eine zusätzliche Verbesserung wäre es, wenn man die bestehenden Registerinhalte am Anfang des Programms alle sichert und kurz vor dem Ende des Programm wieder zuweist. Senden und Empfangen von Daten über die serielle Schnittstelle? Daten werden byteweise über das THB (Transmitter Holding Register) an das angeschlossene Gerät gesendet und von diesem über das RBR (Receiver Buffer Register) empfangen. Die Adresse dieser Register entspricht genau der COM-Port-Adresse. Voraussetzung für den fehlerfreien Datenaustausch ist, dass das DLAB (Divisor Latch ) auf 0 steht und die Schnittstellen beider Geräte gleich konfiguriert sind. Beim Empfang von Daten über das RBR ist es hilfreich, wenn man feststellen kann, wann hier Daten zum Lesen anstehen. Hier hilft das LSR (Line Status Register). Hat das 0 den Wert 1, dann stehen Daten im RBR an und können mit inportb(port) gelesen werden. Mit weiteren s des LSR können darüber hinaus Fehler festgestellt werden. Die entsprechende Tabelle zum LSR gibt Auskunft. EMPFANGEN Im Pollingbetrieb fragt man das 0 im LSR in einer Schleife laufend ab. Wechselt es vom Wert 0 auf 1, dann liest man sofort das Byte aus dem RBR aus. Man beachte, dass man tatsächlich nur das 0 abfragt und nicht das ganze Byte des LSR. Programmiertechnisch liest man dazu zunächst das ganze Byte aus und macht dann eine bitweise (nicht logische) UND-Verknüpfung mit 1. Das Ergebnis der Berechnung ist nur dann 1, wenn das 0 den Wert 1 hat. Alle anderen s werden durch die UND-Verknüpfung ausgeblendet, denn 1 entspricht in binärer Schreibweise. Die anderen s werden also jeweils mit binär 0 UND-verknüpft. SENDEN Zum Senden schickt man die Daten byteweise mit outportb(port) an die Schnittstelle. Da man pro Byte einmal den Befehl outportb ausführen müssen, macht es zum Beispiel Sinn ein Array mit den zu schreibenden Bytes zu füllen, um die Bytes der Reihe nach in einer Schleife mit dem Befehl outportb auf das THB (Transmitter Holding Buffer) zu schicken. Das Handling übernimmt das UART. Die Erfahrung zeigt, dass bei 9600 Baud die Daten schnell genug verschickt werden, so dass es zu keinem Buffer-Overrun kommt. Die Schnelligkeit der Programmschleife hängt dabei vom Prozessor ab. Bei Problemen müsste man sonst das Senden zum Beispiel über 5 des LSR steuern oder eine Erweiterung mit RTS / CTS programmieren. 2

3 Beispielprogramm für den Zugriff auf die serielle Schnittstelle im Pollingbetrieb /* Name : Sample Comm's Program - Polled Version - termpoll.c */ /* Written By : Craig Peacock <cpeacock@senet.com.au> */ /* Date : Saturday 22nd February 1997 */ /* Copyright 1997 CRAIG PEACOCK <cpeacock@senet.com.au> */ /* See */ /* For More Information */ #include <dos.h> #include <stdio.h> #include <conio.h> #define PORT1 0x3F8 /* Defines Serial Ports Base Address */ /* COM1 0x3F8 */ /* COM2 0x2F8 */ /* COM3 0x3E8 */ /* COM4 0x2E8 */ void main(void) { int c; int ch; outportb(port1 + 1, 0); /* Turn off interrupts - Port1 */ /* PORT 1 - Communication Settings */ outportb(port1 + 3, 0x80); /* SET DLAB ON */ outportb(port1 + 0, 0x03); /* Set Baud rate - Divisor Latch Low Byte */ /* Default 0x03 = 38,400 BPS */ /* 0x01 = 115,200 BPS */ /* 0x02 = 57,600 BPS */ /* 0x06 = 19,200 BPS */ /* 0x0C = 9,600 BPS */ /* 0x18 = 4,800 BPS */ /* 0x30 = 2,400 BPS */ outportb(port1 + 1, 0x00); /* Set Baud rate - Divisor Latch High Byte */ outportb(port1 + 3, 0x0B); /* 8 s, Odd Parity, 1 Stop */ outportb(port1 + 2, 0xC7); /* FIFO Control Register */ outportb(port1 + 4, 0x0B); /* Turn on DTR, RTS, and OUT2 */ printf("\nsample Comm's Program. Press ESC to quit \n"); do { c = inportb(port1 + 5); /* Check to see if char has been */ /* received. */ if (c & 1) {ch = inportb(port1); /* If so, then get Char */ printf("%c",ch);} /* Print Char to Screen */ if (kbhit()){ch = getch(); /* If key pressed, get Char */ outportb(port1, ch);} /* Send Char to Serial Port */ } } while (ch!=27); /* Quit when ESC (ASC 27) is pressed */ 3

4 Serial Pinouts (D25 and D9 Connectors) D-Type-25 Pin No. D-Type-9 Pin No. Abbreviation Full Name Pin 2 Pin 3 TD Transmit Data Pin 3 Pin 2 RD Receive Data Pin 4 Pin 7 RTS Request To Send Pin 5 Pin 8 CTS Clear To Send Pin 6 Pin 6 DSR Data Set Ready Pin 7 Pin 5 SG Signal Ground Pin 8 Pin 1 CD Carrier Detect Pin 20 Pin 4 DTR Data Terminal Ready Pin 22 Pin 9 RI Ring Indicator Pin Functions Abbreviation Full Name TD RD CTS DCD DSR DTR RTS RI Function Transmit Data Serial Data Output (TXD) Receive Data Serial Data Input (RXD) Clear to Send This line indicates that the Modem is ready to exchange data. Data Carrier Detect Data Set Ready Data Terminal Ready Request To Send Ring Indicator When the modem detects a "Carrier" from the modem at the other end of the phone line, this Line becomes active. This tells the UART that the modem is ready to establish a link. This is the opposite to DSR. This tells the Modem that the UART is ready to link. This line informs the Modem that the UART is ready to exchange data. Goes active when modem detects a ringing signal from the PSTN. 4

5 Port Addresses & IRQ's Name Address IRQ COM 1 3F8 4 COM 2 2F8 3 COM 3 3E8 4 COM 4 2E8 3 BIOS Start Address Function 0000:0400 COM1's Base Address 0000:0402 COM2's Base Address 0000:0404 COM3's Base Address 0000:0406 COM4's Base Address #include <stdio.h> #include <dos.h> void main(void) { unsigned int far *ptraddr; /* Pointer to location of Port Addresses */ unsigned int address; /* Address of Port */ int a; ptraddr=(unsigned int far *)0x ; for (a = 0; a < 4; a++) { address = *ptraddr; if (address == 0) printf("no port found for COM%d \n",a+1); else printf("address assigned to COM%d is %Xh\n",a+1,address); *ptraddr++; } } 5

6 Table of Registers Base Address DLAB Read/Write Abr. Register Name =0 Write THB Transmitter Holding Buffer + 0 =0 Read RBR Receiver Buffer Register =1 Read/Write DLL Divisor Latch Low Byte + 1 =0 Read/Write IER Interrupt Enable Register =1 Read/Write DLH Divisor Latch High Byte Read IIR Interrupt Identification Register - Write FCR FIFO Control Register Read/Write LCR Line Control Register Read/Write MCR Modem Control Register Read LSR Line Status Register Read MSR Modem Status Register Read/Write - Scratch Register Speed (BPS) Divisor (Dec) Divisor Latch High Byte Divisor Latch Low Byte h 00h h 80h h C0h h 60h h 30h h 18h h 0Ch h 06h h 03h h 02h h 01h 6

7 Interrupt Enable Register (IER) 7 Reserved 6 Reserved 5 Enables Low Power Mode (16750) 4 Enables Sleep Mode (16750) 3 Enable Modem Status Interrupt 2 Enable Receiver Line Status Interrupt 1 Enable Transmitter Holding Register Empty Interrupt 0 Enable Received Data Available Interrupt Interrupt Identification Register (IIR) s 6 and s 1 and No FIFO 0 1 FIFO Enabled but Unusable 1 1 FIFO Enabled 64 Byte Fifo Enabled (16750 only) Reserved 0 Reserved on 8250, Time-out Interrupt Pending Modem Status Interrupt 0 1 Transmitter Holding Register Empty Interrupt 1 0 Received Data Available Interrupt 1 1 Receiver Line Status Interrupt 0 Interrupt Pending 1 No Interrupt Pending 7

8 First In / First Out Control Register (FCR) s 6 and Interrupt Trigger Level Byte Bytes Bytes Bytes Enable 64 Byte FIFO (16750 only) Reserved DMA Mode Select. Change status of RXRDY & TXRDY pins from mode 1 to mode 2. Clear Transmit FIFO Clear Receive FIFO Enable FIFO's Line Control Register (LCR) 7 6 s 3, 4 And 5 2 s 0 And 1 1 Divisor Latch Access 0 Access to Receiver buffer, Transmitter buffer & Interrupt Enable Register Set Break Enable Parity Select X X 0 No Parity Odd Parity Even Parity High Parity (Sticky) Low Parity (Sticky) Length of Stop 0 One Stop 1 2 Stop bits for words of length 6,7 or 8 bits or 1.5 Stop s for Word lengths of 5 bits. 1 0 Word Length s s s s 8

9 Modem Control Register (MCR) 7 Reserved 6 Reserved 5 Autoflow Control Enabled (16750 only) 4 LoopBack Mode 3 Aux Output 2 2 Aux Output 1 1 Force Request to Send 0 Force Data Terminal Ready Line Status Register (LSR) Error in Received FIFO Empty Data Holding Registers Empty Transmitter Holding Register Break Interrupt Framing Error Parity Error Overrun Error Data Ready Modem Status Register (MSR) Carrier Detect Ring Indicator Data Set Ready Clear To Send Delta Data Carrier Detect Trailing Edge Ring Indicator Delta Data Set Ready Delta Clear to Send 9

Serielle Schnittstellen

Serielle Schnittstellen Serielle Schnittstellen Grundbegriffe Seriell, Parallel Synchron, Asynchron Simplex, Halbduplex, Vollduplex Baudrate, Bitrate Serielle Datenübertragung Senden von Daten Bit für Bit 1 0 1 1 Serielle Datenübertragung

Mehr

Die RS Schnittstelle

Die RS Schnittstelle Die RS232 -. Schnittstelle Referat in der Vorlesung Rechnerstrukturen C.Wehland ; A.Gerst Inhalt Überblick serielle PC-Schnittstelle Übertragungsparameter Signale der seriellen Schnittstelle Register der

Mehr

Die RS232-/V.24-Schnittstelle

Die RS232-/V.24-Schnittstelle Die RS232-/V.24-Schnittstelle Das Herzstück der seriellen Schnittstelle im PC ist der serielle Baustein UART 8250 (Universal Asynchronous Receiver Transmitter). Dieser Baustein erlaubt die serielle Datenübertragung

Mehr

STM32 - Schieberegister, SPI - u

STM32 - Schieberegister, SPI - u STM32 - Schieberegister, u23 2013 andy, florob, gordin, ike, meise, tobix, zakx e.v. http://koeln.ccc.de Cologne 2013-11-04 STM32 - Schieberegister, - u23 2013 1 Schieberegister Schieberegister Codebeispiel

Mehr

6. Peripheriegeräte und Schnittstellen

6. Peripheriegeräte und Schnittstellen Abb. 6.1: Tastatur und Tastaturschnittstelle Abb. 6.2: Tastatur-SDU und Belegung der Stecker Abb. 6.3: Die Scan-Codes der MF-II-Tastatur Tastatur Tastaturkontaktmatrix Tastaturprozessor Tastaturkabel 11

Mehr

Beispiel. Echo-Anwendung. Beispiel Echo Anforderungen. Benutzer stellt Konfiguration der seriellen Schnittstelle am PC ein (z.b. über Hyperterminal)

Beispiel. Echo-Anwendung. Beispiel Echo Anforderungen. Benutzer stellt Konfiguration der seriellen Schnittstelle am PC ein (z.b. über Hyperterminal) Beispiel Echo-Anwendung Erweiterung String-Ausgabe Beispiel Echo Anforderungen Benutzer stellt Konfiguration der seriellen Schnittstelle am PC ein (z.b. über Hyperterminal) - asynchroner Modus - Baudrate:

Mehr

Serielle Schnittstelle

Serielle Schnittstelle Serielle Schnittstelle RS-232 ist ein Standard für eine bei Computern teilweise vorhandene serielle Schnittstelle, der in den frühen 1960er Jahren von dem US-amerikanischen Standardisierungskomitee Electronic

Mehr

Die serielle Schnittstelle in der MSR - Technik

Die serielle Schnittstelle in der MSR - Technik Die serielle Schnittstelle in der MSR - Technik In der Mess- Steuer- und Regelungstechnik (MSR - Technik) werden für die Ansteuerung von Messgeräten oft serielle Schnittstellen verwendet. Aus leidvoller

Mehr

DST EINFÜHRUNG IN MRT (V2)

DST EINFÜHRUNG IN MRT (V2) DST EINFÜHRUNG IN MRT (V2) Aufgabe: Reaktionstester 1. Pflichtenheft Taster an -PA0 8 LEDs an PCx LCD-Anzeige für Ergebnis Die LEDs an Port C sollten unerwartet irgendwann angehen! Jetzt wird die Zeit

Mehr

AK-Automatisierungs und Kommunikationstechnik TI Technische Informatik. NWT Netzwerktechnik www.munz-udo.de

AK-Automatisierungs und Kommunikationstechnik TI Technische Informatik. NWT Netzwerktechnik www.munz-udo.de AA Die serielle Schnittstelle (USB) mit C oder JAVA ansprechen und mit einem Terminalprogramm überprüfen was funktioniert. s.a. Homepage Microcontroller ganz am Schluss Projekte etc. Das folgende Beispiel

Mehr

Die serielle Schnittstelle mit den Atmega32: USART

Die serielle Schnittstelle mit den Atmega32: USART Die serielle Schnittstelle mit den Atmega32: USART Die Schnittstelle hat die Bezeichnung USART, die für UNIVERSAL SYNCHRONOUS and ASYNCHRONOUS RECEIVER and TRANSMITTER steht. In dieser Abhandlung wird

Mehr

Serielle Kommunikation - Kodierung

Serielle Kommunikation - Kodierung Serielle Kmmunikatin - Kdierung RXTX Biblithek für die serielle Schnittstelle I Installatin 32-Bit-JDK rxtxserial.dll: \jre\bin rxtxparallel.dll: \jre\bin RXTXcmm.jar: \jre\lib\ext

Mehr

EX-1361 / EX-1361IS EX-1362 / EX-1362IS

EX-1361 / EX-1361IS EX-1362 / EX-1362IS Bedienungsanleitung EX-1361 / EX-1361IS 1S PCMCIA Karte Standard und Surge Protection & Optical Isolation EX-1362 / EX-1362IS 2S PCMCIA Karte Standard und Surge Protection & Optical Isolation Version 1.4

Mehr

10: Serial Communication Interface (SCI)

10: Serial Communication Interface (SCI) 10: Serial Communication Interface (SCI) Sie verstehen das RS-232 Protokoll sowie das Zusammenspiel zwischen HW und SW bei der Kommunikation über die serielle Schnittstelle. 1. Systemüberblick Vom PC aus

Mehr

Universal Synchronous and Asynchronous Serial Receiver and Transmitter. Serielle Schnittstellen

Universal Synchronous and Asynchronous Serial Receiver and Transmitter. Serielle Schnittstellen USART Universal Synchronous and Asynchronous Serial Receiver and Transmitter Serielle Schnittstellen USART physikalisch USART-Komponenten im ATmega28 USART-Konfiguration Beispiel Echo-Anwendung Anwendung:

Mehr

SB 500 Softwarekonfiguration und Einstellung der Jumper

SB 500 Softwarekonfiguration und Einstellung der Jumper SB 500 Softwarekonfiguration und Einstellung der Jumper Version 1.6 TCC GmbH März 2001 Inhaltsverzeichnis Überblick...1 Öffnender Box...2 Position der Jumper auf der Platine...2 Einstellung der RD Leitung...3

Mehr

7. Die serielle Schnittstelle 7.1 Asynchrone Signalform

7. Die serielle Schnittstelle 7.1 Asynchrone Signalform 7. Die serielle Schnittstelle 7.1 Asynchrone Signalform Beginn einer Zeichenübertragung zu einem beliebigen asynchronen Zeitpunkt: Ähnliche Technik wie beim Tastaturanschluß: - Datenraten von 300 Bit/sec

Mehr

5. Serielle Schnittstelle

5. Serielle Schnittstelle 5.1 Vergleich der seriellen und parallelen Datenübertragung Man unterscheidet zwischen paralleler und serieller Datenübertragung. Bei der parallelen Datenübertragung werden jeweils n Datenbit gleichzeitig

Mehr

Parität: - gerade, ungerade, keine, eins, null, - even, odd, none, one, zero. -

Parität: - gerade, ungerade, keine, eins, null, - even, odd, none, one, zero. - 7. Die serielle Schnittstelle 7.1 Asynchrone Signalform Beginn einer Zeichenübertragung zu einem beliebigen asynchronen Zeitpunkt: Ähnliche Technik wie beim Tastaturanschluß: - Datenraten von 300 Bit/sec

Mehr

Die UART-Schnittstelle

Die UART-Schnittstelle Ein einfaches Terminal Seite 1 von 5 Die UART-Schnittstelle Bei einer seriellen Datenübertragung werden binäre Daten bitweise hintereinander über eine Leitung übertragen. Die serielle Schnittstelle eines

Mehr

Parität: - gerade, ungerade, keine, eins, null, - even, odd, none, one, zero. -

Parität: - gerade, ungerade, keine, eins, null, - even, odd, none, one, zero. - 7. Die serielle Schnittstelle 7.1 Asynchrone Signalform Beginn einer Zeichenübertragung zu einem beliebigen asynchronen Zeitpunkt: Ähnliche Technik wie beim Tastaturanschluß: - Datenraten von 300 Bit/sec

Mehr

Technische Dokumentation

Technische Dokumentation Technische Dokumentation Anbindung Aufbuchleser an ComServer Moxa/WLAN Hardware: - Steckernetzteil 12VDC 0.5A - WLAN Antenne - Port RS-232/RS-422/RS-485 - Um den RS485-Bus am ComServer zu verkabeln, muss

Mehr

8 Kommunikation. Mehr Informationen zum Titel! 8.1 Serielle Schnittstelle

8 Kommunikation. Mehr Informationen zum Titel! 8.1 Serielle Schnittstelle Mehr Informationen zum Titel! 8 Kommunikation Ein Embedded System muss vielfach Daten austauschen, sei es innerhalb des Systems, mit einem anderen Mikrocontroller oder auch mit einem externen Computer

Mehr

G Y M N A S I U M B O N N S T R A S S E. H ü r t h. Programmierung der. seriellen Schnittstelle

G Y M N A S I U M B O N N S T R A S S E. H ü r t h. Programmierung der. seriellen Schnittstelle G Y M N A S I U M B O N N S T R A S S E H ü r t h Schriftliche Facharbeit im Fach Informatik über das Thema Programmierung der seriellen Schnittstelle zu Händen von Herrn Holland An-Hoa Nguyen aus: 50354

Mehr

DMXface ACTIVE SEND mit RS232

DMXface ACTIVE SEND mit RS232 DMXface ACTIVE SEND mit RS232 Für DMXface FW Version ab 5.07 Allgemeines... 2 Einstellen des ACTIVE Send für RS232 Port 1... 3 Daten Sendungen vom DMXface... 4 DMX Daten... 4 Infrarot Empfang... 4 Änderungen

Mehr

Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520

Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520 Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520 Das Linux Control System ist eine typische Anwendung für das Linux Control Modul DIL/NetPC DNP/7520. Die Abbildung 1 zeigt die Blockschaltung.

Mehr

Dateiübertragung mit ProComm Plus (Posten 6)

Dateiübertragung mit ProComm Plus (Posten 6) Dateiübertragung mit ProComm Plus (Posten 6) Einleitung Um die Zeit optimal ausnutzen zu können und nicht im wenig Benutzerfreundlichen MS-Dos zu verweilen, wurde der Versuch mit dem Programm ProComm Plus

Mehr

UEM 300 Analoges Wählmodem

UEM 300 Analoges Wählmodem UEM 300 Automatisierungssysteme GmbH Gutenbergstr. 16 63110 Rodgau Telefon: 06106/84955-0 Fax: 06106/84955-20 E-Mail: info@ohp.de Internet: http://www.ohp.de Stand: 12.09.2005 Seite - 1 - Inhaltsverzeichnis

Mehr

Labor Computertechnik (LCT) Laborbericht zu Versuch: 1 Logikanalysatortechnik und RS232

Labor Computertechnik (LCT) Laborbericht zu Versuch: 1 Logikanalysatortechnik und RS232 Labor Computertechnik (LCT) Laborbericht zu Versuch: 1 Logikanalysatortechnik und RS232 Andreas Hofmeier Auftraggeber: Prof. Dipl.-Ing. S. Myrzik, Fachhochschule Bremen Durchführung am: 22.03.2006 Ort

Mehr

4.0 Der Atmel AT89LPx052 Mikrocontroller

4.0 Der Atmel AT89LPx052 Mikrocontroller 4.0 Der Atmel AT89LPx052 Mikrocontroller Die ersten beiden Derivate der Atmel LP Familie sind der AT89LP2052 und der AT89LP4052 in verschiedenen Gehäusevarianten mit 2 Kbytes bzw. 4 KBytes Flash. Gegenüber

Mehr

Datentechnik. Prinzipieller Aufbau eines Schnittstellenbausteins

Datentechnik. Prinzipieller Aufbau eines Schnittstellenbausteins Prinzipieller Aufbau eines Schnittstellenbausteins DB /CS A0-Ai R/W Reset Takt Int IntAck zum µp Datenbus Puffer Steuerung Interruptsteuerung & IF Statusregister IE Steuerregister Befehlsregister Datenregister

Mehr

Bit Operationen. InE1 M. Thaler, Office TG ZHAW, M. Thaler, K. Rege, G. Burkert

Bit Operationen. InE1 M. Thaler, Office TG ZHAW, M. Thaler, K. Rege, G. Burkert Bit Operationen InE M. Thaler, tham@zhaw.ch Office TG28 http://www.zhaw.ch/~tham November 8 Um was geht es? Microcontroller z.b. Ansteuerung/Konfiguartion von I/O Registern oft notwendig: einzelne Bits

Mehr

Konverter. Gruppe 11. Unser Weg ist Ihr Ziel. Der Spezialist für ADD-On Produkte. Tel Fax Fax

Konverter. Gruppe 11. Unser Weg ist Ihr Ziel. Der Spezialist für ADD-On Produkte. Tel Fax Fax Der Spezialist für ADD-On Produkte Vers. 1.1_01.09.2014 Konverter Gruppe 11 Unser Weg ist Ihr Ziel EXSYS Vertriebs GmbH Industriestr. 8 61449 Steinbach/Ts. Deutschland D - Deutschland verkauf@exsys.de

Mehr

Der I²C-Bus. Vorstellung des Inter-Integrated Circuit -Bus. Aufbau und Funktionsweise. Beispiel PortExpander am Arduino

Der I²C-Bus. Vorstellung des Inter-Integrated Circuit -Bus. Aufbau und Funktionsweise. Beispiel PortExpander am Arduino Der I²C-Bus Vorstellung des Inter-Integrated Circuit -Bus Aufbau und Funktionsweise Beispiel PortExpander am Arduino Weitere Anwendungsmöglichkeiten Was ist der I²C-Bus entwickelt von Philips Anfang der

Mehr

Kurzbeschreibung RS-XMODUL-IP 3,3 Volt

Kurzbeschreibung RS-XMODUL-IP 3,3 Volt RS-XMODUL-IP Die klassische Datenübertragung der meisten asynchronen Endgeräte geschieht bis heute noch über analoge Modems. Die Steuerung der analogen Modems erfolgt mit den bekannten und schon standardisierten

Mehr

PLC-5- und SLC-Prozessoren im DH+ Verbund (SLC 5/04 -Prozessoren)

PLC-5- und SLC-Prozessoren im DH+ Verbund (SLC 5/04 -Prozessoren) Schnellinformation PLC-5- und SLC-Prozessoren im DH+ Verbund (SLC 5/04 -Prozessoren) In dieser Publikation sind Informationen aus verfügbaren PLC - und SLC -Dokumentationen zusammengestellt, um die Kommunikation

Mehr

Übungen zu Grundlagen der systemnahen Programmierung in C (GSPiC) im Sommersemester 2018

Übungen zu Grundlagen der systemnahen Programmierung in C (GSPiC) im Sommersemester 2018 Übungen zu Grundlagen der systemnahen Programmierung in C (GSPiC) im Sommersemester 2018 2018-05-29 Bernhard Heinloth Lehrstuhl für Informatik 4 Friedrich-Alexander-Universität Erlangen-Nürnberg Lehrstuhl

Mehr

Mikrocomputertechnik. Thema: Serielle Schnittstelle / UART

Mikrocomputertechnik. Thema: Serielle Schnittstelle / UART Mikrocomputertechnik Thema: Serielle Schnittstelle / UART Parallele vs. serielle Datenübertragung Parallele Datenübertragung Mehrere Bits eines Datums werden zeitgleich mittels mehrerer Datenleitungen

Mehr

Lösungsvorschläge zur Übungsklausur. zum Kurs 1708 Technische Informatik II. im WS 01/02

Lösungsvorschläge zur Übungsklausur. zum Kurs 1708 Technische Informatik II. im WS 01/02 Lösungsvorschläge zur Übungsklausur zum Kurs 1708 Technische Informatik II im WS 01/02 Aufgabe 1: (10 Punkte) Welche der folgenden Aussagen sind richtig? a) Unter dem Begriff Mikroprogramm versteht man

Mehr

EA USB PROGRAMMERBOARD FÜR EA edip240-7

EA USB PROGRAMMERBOARD FÜR EA edip240-7 18. Mai 2005 EA 9777-1 USB PROGRAMMERBOARD FÜR EA edip240-7 Abbildung: TECHNISCHE DATEN * * PROGRAMMIERBOARD FÜR USB * INKL. USB-KABEL * EINFACHSTE ANWENDUNG, KEINE STROMVERSORGUNG NÖTIG * ERFORDERT USB

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

8: IIC-Bussystem / PID

8: IIC-Bussystem / PID 8: IIC-Bussystem / PID Sie verstehen das IIC-Busprotokoll und können das IIC-Controller Modul des MC9S08JM60 zur Kommunikation mit anderen IIC-Busteilnehmern einsetzen. 1. Geschwindigkeitsmessung im MC-Car

Mehr

INE1 Bit-Operationen. Bit-Operationen Übungen Bits setzen, löschen, abfragen Beispiel: Bitmap

INE1 Bit-Operationen. Bit-Operationen Übungen Bits setzen, löschen, abfragen Beispiel: Bitmap INE1 Bit-Operationen Bit-Operationen Übungen Bits setzen, löschen, abfragen Beispiel: Bitmap 1 Displays and I/O Binary Display & Input Output 2 von 39 Operationen für Bitmanipulation Bit - Operatoren Die

Mehr

EX-1361 / EX-1361IS EX-1362 / EX-1362IS. 1S PCMCIA Karte Standard und Surge Protection & Optical Isolation

EX-1361 / EX-1361IS EX-1362 / EX-1362IS. 1S PCMCIA Karte Standard und Surge Protection & Optical Isolation Bedienungsanleitung EX-1361 / EX-1361IS 1S PCMCIA Karte Standard und Surge Protection & Optical Isolation EX-1362 / EX-1362IS 2S PCMCIA Karte Standard und Surge Protection & Optical Isolation Version 1.3

Mehr

Labor Industrielle Netzwerke. Versuch 2: Relais Steuerung durch SPI

Labor Industrielle Netzwerke. Versuch 2: Relais Steuerung durch SPI Labor Industrielle Netzwerke Versuch 2: Relais Steuerung durch SPI Datum: 23.01.2018 1 Serial Peripheral Interface (SPI) Beim SPI (Serial Peripheral Interface) Protokoll handelt es sich um einen seriellen

Mehr

Betriebsanleitung EFR3000

Betriebsanleitung EFR3000 ZIEHL industrie elektronik GmbH + Co KG Daimlerstraße 13, D 74523 Schwäbisch Hall + 49 791 504-0, info@ziehl.de, www.ziehl.de Temperaturrelais und MINIKA Strom- und Spannungsrelais Messgeräte MINIPAN Schaltrelais

Mehr

DALI 4Net. Datenblatt. Central Control Device. Zentrale Steuereinheit. für 4 DALI Linien. Art

DALI 4Net. Datenblatt. Central Control Device. Zentrale Steuereinheit. für 4 DALI Linien. Art DALI 4Net Datenblatt Central Control Device Zentrale Steuereinheit für 4 DALI Linien Art. 22176666 DALI 4Net 2 DALI 4Net Central Control Device Überblick Unabhängige Lichtsteuerung für bis zu 256 DALI

Mehr

Das IKB-5700 POS KEYBOARD. Benutzerhandbuch

Das IKB-5700 POS KEYBOARD. Benutzerhandbuch Das IKB-5700 POS KEYBOARD Benutzerhandbuch Inhaltsverzeichnis 1. Einleitung 1.1. Ausstattung 2. Schnittstellen und DIP- Schalter 2.1. Schnittstellen 2.2. DIP- Schalter 3. Magnetkartenleser und Schlüsselstellung

Mehr

Use of the LPM (Load Program Memory)

Use of the LPM (Load Program Memory) Use of the LPM (Load Program Memory) Use of the LPM (Load Program Memory) Instruction with the AVR Assembler Load Constants from Program Memory Use of Lookup Tables The LPM instruction is included in the

Mehr

Selbststudium Informationssysteme - H1102 Christian Bontekoe & Felix Rohrer

Selbststudium Informationssysteme - H1102 Christian Bontekoe & Felix Rohrer Übung RA, Kapitel 1.5 1. Beantworten Sie bitte folgende Repetitionsfragen 1. Beschreiben Sie in eigenen Worten und mit einer Skizze die Schichtung einer Multilevel Maschine. Folie 5, rechte Seite 2. Welche

Mehr

MODBUS RTU Übertragungsprotokoll für Digitale Elektronische Vorschaltgeräte mit RS-485 Schnittstelle

MODBUS RTU Übertragungsprotokoll für Digitale Elektronische Vorschaltgeräte mit RS-485 Schnittstelle MODBUS RTU Übertragungsprotokoll für Digitale Elektronische Vorschaltgeräte mit RS-485 Schnittstelle Die Digitalen Elektronischen Vorschaltgeräte von LT-Elektronik Gera GmbH unterstützen die serielle Datenübertragung

Mehr

V.24-Schnittstelle Betrieb an der varix 14/200

V.24-Schnittstelle Betrieb an der varix 14/200 V.24-Schnittstelle Betrieb an der varix 14/200 Anschluß Kabel Verwenden Sie für die Verbindung zwischen varix SD 38 und dem PC das mitgelieferte neunpolige Standard V.24-Verbindungskabel (1:1). Stecken

Mehr

SIMATIC S5 SIEMENS. MODBUS-Protokoll RTU-FORMAT SIMATIC S5 ist Master. Kurzinformation. Sondertreiber für CP 524 / CP (S5-DOS) S5R004

SIMATIC S5 SIEMENS. MODBUS-Protokoll RTU-FORMAT SIMATIC S5 ist Master. Kurzinformation. Sondertreiber für CP 524 / CP (S5-DOS) S5R004 SIEMENS SIMATIC S5 Sondertreiber für CP 524 / CP 525-2 (S5-DOS) S5R004 MODBUS-Protokoll RTU-FORMAT SIMATIC S5 ist Master Kurzinformation Bestell - Nr.: 6ES5 897-2KB11 Stand 2/92 Der Sondertreiber ist auf

Mehr

Hardware Software Handshake UART. Maik Holzhey. Fakultät IV Technische Universität Berlin. 22. Mai 2014

Hardware Software Handshake UART. Maik Holzhey. Fakultät IV Technische Universität Berlin. 22. Mai 2014 Fakultät IV Technische Universität Berlin 22. Mai 2014 Begriffsklärung Begriffsklärung Universal Asynchronous Receiver and Transmitter serielle Schnittstelle - asynchroner Modus serielle Schnittstelle

Mehr

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565 Stand 10.2.2009 Displayabmessungen -6X9: 56x43mm (Abbildung) -6X8: 34x25mm TECHNISCHE DATEN * INKL. KONTROLLER ST7565 * VDD = 2,7... 3,3V * LOW POWER, ALSO IDEAL FÜR

Mehr

Leistungsmessgerät PLM-305:

Leistungsmessgerät PLM-305: Leistungsmessgerät PLM-305: Allgemeines: Das Leistungsmessgerät PLM-305 ist für 230/400V Drehstromnetze (4Leiter) konzipiert. Es können Ströme, bei Direktmessung bis 10A oder in Kombination mit Stromwandlern

Mehr

Einfache SDO-Zugriffe für CANopen-E/A-Module

Einfache SDO-Zugriffe für CANopen-E/A-Module ( wirklich ohne Ballast? ) Autor: Wolfgang Büscher Stand: 18.05.2001 Ablage: c:\cproj\ea_mod\doku\art85029_Ea515_Easy_SDO.odt Verteiler: (unbekannt) ACHTUNG: DIESE BESCHREIBUNG IST NICHT ALS GRUNDLAGE

Mehr

VN7640 FlexRay/CAN/LIN/Ethernet Interface Quick Start Guide. Version 1.1 English/Deutsch

VN7640 FlexRay/CAN/LIN/Ethernet Interface Quick Start Guide. Version 1.1 English/Deutsch VN7640 FlexRay/CAN/LIN/Ethernet Interface Quick Start Guide Version 1.1 English/Deutsch Quick Start Guide VN7640 ENGLISH 1 ENGLISH 1.1 Installation Step by Step Procedure Please use the drivers from the

Mehr

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Hardware PCI-Bus Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Hardware PCI-Bus 1/23 2008-08-06 Übersicht Inhalt:

Mehr

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Hardware PCI-Bus Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 Hardware PCI-Bus 1/23 2007-10-26 Übersicht Inhalt:

Mehr

8051Speicherorganistaion. SFR u. oberer Datenspeicherbereich teilen sich den SPECIAL FUNCTION REGISTER. gleichen Adreßbereich. indirekt adressierbar

8051Speicherorganistaion. SFR u. oberer Datenspeicherbereich teilen sich den SPECIAL FUNCTION REGISTER. gleichen Adreßbereich. indirekt adressierbar intern (auf CPU) PROGRAMMSPEICHER extern 2K bis 64K ROM 051: 4K max 64K 051:64K 051Speicherorganistaion 13.04.2000 - v3 extern interner XRAM DATENSPEICHER intern (auf CPU) SPECIAL FUNCTION REGISTER SFR

Mehr

ATxMEGA 128 Board 2. Inhalt

ATxMEGA 128 Board 2. Inhalt ATxMEGA 128 Board 2 Inhalt Funktionsübersicht... 2 ATxMEGA128 - Funktionen... 2 ATxMEGA Block Diagramm... 3 Stiftleistenbelegungen... 4 I/O Ports (Port A, B, C, D, F)... 4 JTAG Schnittstelle... 4 PDI Schnittstelle...

Mehr

NC-Datenübertragung PC <=> Maschinensteuerung

NC-Datenübertragung PC <=> Maschinensteuerung zwischen PC und Maschinensteuerung Vorbemerkung Voraussetzungen Nach dem Erstellen eines NC-Programmes mit P-CAM ist dieses Programm zur Maschine zu übertragen, um dort abgearbeitet werden zu können. Manchmal

Mehr

USB Core. Dokumentation. Version 1.0

USB Core. Dokumentation. Version 1.0 USB Core Dokumentation Version 1.0 Usb Core Dokumentation Version 1.0 Seite 2 von 9 Inhalt Inhalt... 2 Änderungen... 2 Allgemeines... 3 LibUsb... 3 Kurze Beschreibung der Signale... 4 Detaillierte Beschreibung

Mehr

zu Bestell-Nr. C79000-B7300-C088

zu Bestell-Nr. C79000-B7300-C088 VARIO13.DOC Ausgabestand: 01 +\EULGVFKUHLEHU9$5,2*5$3+ 1'1'1' 6HULHOOH6FKQLWWVWHOOH 1DFKWUDJ(UJlQ]XQJ6FKQLWWVWHOOH56 zu Bestell-Nr. C79000-B7300-C088 Dieser Nachtrag ist nur gültig in Verbindung mit der

Mehr

Anhang. Spezifikationen

Anhang. Spezifikationen Anhang A Spezifikationen PC-Interface Automatische Ressourcen-Zuweisung (Plug&Play) ME-000 PCI/cPCI (Rev..) PCI Local Bus Spezifikation Version. ( bit, MHz, Universal-PCI: V/,V) ME-000 PCI-Express PCI-Express

Mehr

USBCAN.DLL. Manual. Microcomputersysteme. Version 1.2

USBCAN.DLL. Manual. Microcomputersysteme. Version 1.2 USBCAN.DLL Microcomputersysteme Manual Version 1.2 August 2004 Die in diesem Manual verwendeten Beschreibungen für Erzeugnisse, die ein eingetragenes Warenzeichen darstellen können, wurden nicht separat

Mehr

Bisher hatten immer nur als Ausgänge geschaltet und hierfür folgende Befehle benutzt:

Bisher hatten immer nur als Ausgänge geschaltet und hierfür folgende Befehle benutzt: Tasten abfragen Bisher hatten immer nur als Ausgänge geschaltet und hierfür folgende Befehle benutzt: pinmode(pinnummer, OUTPUT) digitalwrite(pinnummer, HIGH) oder digitalwrite(pinnummer, LOW) Zum Abfragen

Mehr

Unified-E Modbus Adapter

Unified-E Modbus Adapter Unified-E Modbus Adapter Betrifft: Version 1.5.0.0 und höher Stand: Februar 2017 Inhalt 1 Allgemeines... 2 2 Adapter-Parameter in Unified-E... 2 3 Adressierung von Datenpunkten... 4 Unified-E Modbus Adapter

Mehr

i2c-bus.de IN/OUT - UART Einfache Ein- und Ausgabe über serielle Schnittstelle Interrupt-Betrieb Dokument NR.: AB-001-U-03

i2c-bus.de IN/OUT - UART Einfache Ein- und Ausgabe über serielle Schnittstelle Interrupt-Betrieb Dokument NR.: AB-001-U-03 DA Dokument NR.: AB-001-U-03 IN/OUT - UART Einfache Ein- und Ausgabe über serielle chnittstelle Interrupt-Betrieb P Bitte denken ie an die Umwelt, bevor ie diese Datei ausdrucken IN/OUT mittels BUF (Interrupt)

Mehr

Socket Modem CPM Voice. Designer Guide

Socket Modem CPM Voice. Designer Guide Socket Modem CPM Voice Designer Guide Copyright comtime GmbH Die in dieser Publikation veröffentlichen Beiträge sind urheberrechtlich geschützt. Übersetzungen, Nachdruck, Vervielfältigung sowie Speicherung

Mehr

Released energy meters for PIKO IQ / PLENTICORE plus

Released energy meters for PIKO IQ / PLENTICORE plus Released energy meters for PIKO IQ / PLETICORE plus Version: 03/2018 / Released energy meters Inverter B+G E-Tech GmbH SDM630-Modbus TQ-System GmbH B-control EM 300 LR 1 The energy meter can be used instead

Mehr

WS 2016/17 Viel Erfolg!!

WS 2016/17 Viel Erfolg!! Hochschule München FK03 Zugelassene Hilfsmittel: alle eigenen, Taschenrechner Komponenten & Programmierung von Automatisierungssystemen Matr.-Nr.: Name, Vorname: Hörsaal: Unterschrift: Seite 1 von 11 Prof.

Mehr

Hardware Logik-Analysatoren

Hardware Logik-Analysatoren Hardware Logik-Analysatoren Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Logik-Analysatoren 1/24 2010-10-12

Mehr

USB -> Seriell Adapterkabel Benutzerhandbuch

USB -> Seriell Adapterkabel Benutzerhandbuch USB -> Seriell Adapterkabel Benutzerhandbuch 1. Produkt Eigenschaften 1 2. System Vorraussetzungen 1 3. Treiber Installation (Alle Windows Systeme) 1 4. Den COM Port ändern 2 5. Einstellen eines RS232

Mehr

Benutzerhandbuch Avisaro 2.0 Datenlogger

Benutzerhandbuch Avisaro 2.0 Datenlogger Benutzerhandbuch Avisaro 2.0 Datenlogger Mit Dokumentation der Scripte (Anwendungsprogramme) RS232 CAN 4..20mA I2C SPI VORLÄUFIGER ENTWURF Version / Datum 2013-11-18 1 INHALTSVERZEICHNIS 2 Historie...

Mehr

UEM 302 ISDN Terminal Adapter

UEM 302 ISDN Terminal Adapter UEM 302 Automation Systems GmbH Gutenbergstr. 16 63110 Rodgau Telefon: 06106/84955-0 Fax: 06106/84955-20 E-Mail: info@ohp.de Internet: http://www.ohp.de Stand: 12.12.2005 Seite - 1 - Inhaltsverzeichnis

Mehr

11. Die PC-Schnittstelle

11. Die PC-Schnittstelle PC-Schnittstelle Funktion -1. Die PC-Schnittstelle.1. Funktion Die folgenden Angaben gelten ohne Einschränkung für den PC, PC-XT, PC-AT, AT-386, AT-486 und kompatible Rechner. Sie sind nur für jene interessant,

Mehr

Serial_Interface_01.lib

Serial_Interface_01.lib Anhang A - Weitere Bibliotheken WAGO-I/O-PRO 32 Bibliothek Serial_Interface_01.lib Die Bibliothek Serial_Interface_01.lib stellt dem Anwender den Funktionsbaustein SERIAL_INTERFACE zur Verfügung. Der Baustein

Mehr

Interface Definitionen zu E-LAB ICP-V24 Portable

Interface Definitionen zu E-LAB ICP-V24 Portable Serielles Interface PC ICP-V24 18.03.2003 Seite 1/5 Interface Definitionen zu E-LAB ICP-V24 Portable Das Interface zwischen PC und ICP-V24 besteht aus dem Hardware Teil und dem Software Teil. Hardware

Mehr

Dokumentation Programmieradapter EV1D und PLVC

Dokumentation Programmieradapter EV1D und PLVC Dokumentation Programmieradapter EV1D und PLVC Erstellt: C. Hermer Seite 1 von 7 12.08.2010 Inhaltsverzeichnis: 1. Technische Information Seite 3 2. Installationsanleitung Programmieradapter Seite 4 3.

Mehr

Konverter RS232 auf Ethernet. WE-Ether-232. Kurzanleitung zur Inbetriebnahme Teil 6

Konverter RS232 auf Ethernet. WE-Ether-232. Kurzanleitung zur Inbetriebnahme Teil 6 Konverter RS232 auf Ethernet WE-Ether-232 Kurzanleitung zur Inbetriebnahme Teil 6 Ausgabestand: V 1.03 vom 17.10.2002 Helge Weber,, V1.03, WE_KA_MODEM_T6 - Seite 1 von 22 - Die Wiedergabe von Gebrauchsnamen,

Mehr

Kapitel 18. Externe Komponenten

Kapitel 18. Externe Komponenten Kapitel 18 Externe Komponenten 31.05.11 K.Kraft E:\MCT_Vorlesung\MCT2011\Externe_31\Externe.odt 18-1 Anschluss von externen Komponenten Einfachste Art : Direkt an einem Port Beispiel Ausgabe : 7-Strich

Mehr

Arduino µcontroller. Virtuelle COMSchnittstelle

Arduino µcontroller. Virtuelle COMSchnittstelle Aufgaben: Arduino µcontroller 1. Die gegebene Software auf einen ARDUINO MEGA-Board aufspielen weil die PORTS A (8xSchalter) und C (8xLEDs) benutzt werden. Steht nur ein UNO-Board zur Verfügung, dann den

Mehr

Kassendrucker KPR 58

Kassendrucker KPR 58 Kassendrucker KPR 58 DEUTSCH Bedienungsanleitung Bitte lesen und beachten Sie die nachfolgenden Informationen und bewahren Sie diese Bedienungsanleitung zum Nachschlagen auf! Sicherheitshinweise VORSICHT!

Mehr

Microcontroller Selbststudium Semesterwoche 9

Microcontroller Selbststudium Semesterwoche 9 Microcontroller Selbststudium Semesterwoche 9 Kurzfragen zum Thema Interrupts 1. Wozu werden Interrupts benötigt (Beispiele)? Interrupts werden überall dort verwendet, wo auf zeitkritische Ereignisse reagiert

Mehr

Fachhochschule Hannover

Fachhochschule Hannover Fachhochschule Hannover Fachgebiet Datenverarbeitung Labor für Datenverarbeitung Labor für Prozeßdatenverarbeitung 1 Labor für Prozeßdatenverarbeitung 2 Labor für Prozeßdatenverarbeitung (TI) Umdruck:

Mehr

AVR-Mikrocontroller in BASCOM programmieren

AVR-Mikrocontroller in BASCOM programmieren jean-claude.feltes@education.lu 1 AVR-Mikrocontroller in BASCOM programmieren Der IC-Bus (TWI-Interface) Allgemeines Spezifikationen des IC-Busses: http://www.nxp.com/acrobat_download/literature/9398/39311.pdf

Mehr

Bedienungsanleitung / Manual : LED-Nixie

Bedienungsanleitung / Manual : LED-Nixie Bedienungsanleitung / Manual : LED-Nixie English please see below. Bei Neustart und gleichzeitig gedrückter Taste während der Versionsanzeige (halten bis Beep hörbar), erfolgt eine Zurücksetzung auf (Standard)

Mehr

Beschreibung Modell Motorspindel

Beschreibung Modell Motorspindel Beschreibung Modell Motorspindel Allgemein : Mit dem Modell Motorspindel können sowohl Übungen im Bereich der Regelungstechnik als auch Aufgaben in der Controllertechnik mit allen gängigen Bussystemen

Mehr

LCD Display 20x4 mit Buttons

LCD Display 20x4 mit Buttons Ausgabe 10.11.2017 Copyright by Joy-IT 1 Index 1. Anschluss des Displays 2. Installation des Systems 3. Verwendung & Beispiel-Code 4. Support Ausgabe 10.11.2017 Copyright by Joy-IT 2 Sehr geehrter Kunde,

Mehr

EMC: Parallel-I/O Folie: 2 Prof. Dr.-Ing. Alfred Rozek TFH Berlin

EMC: Parallel-I/O Folie: 2 Prof. Dr.-Ing. Alfred Rozek TFH Berlin PIO-Karte für den PC Aufbau einer einfachen PC-Interface-Karte mit dem Portbaustein 8255 EMC: Parallel-I/O Folie: 1 Prof. Dr.-Ing. Alfred Rozek TFH Berlin Centronics-Schnittstelle 1 Dieser Baustein kontrolliert

Mehr

11: Echtzeitbetriebssystem ucos-ii

11: Echtzeitbetriebssystem ucos-ii 11: Echtzeitbetriebssystem ucos-ii Sie lernen anhand aufeinander aufbauender Übungen, welche Möglichkeiten ein Echtzeitbetriebssystem wie das ucosii bietet und wie sich damit MC-Applikationen realisieren

Mehr

UNIGATE CL Konfiguration mit WINGATE

UNIGATE CL Konfiguration mit WINGATE UNIGATE CL Konfiguration mit WINGATE - UNIGATE CL Configuration via WINGATE Art.-Nr.: V3928 Deutschmann Automation GmbH & Co. KG Carl-Zeiss-Str. 8 D-65520 Bad Camberg Phone: +49-(0)6434-9433-0 Hotline:

Mehr

IR RECEIVER Bedienungsanleitung User s Manual

IR RECEIVER Bedienungsanleitung User s Manual Bedienungsanleitung User s Manual Die zweite Generation des MBNLED RGB DMX POWER SUPPLY und des MBNLED PRO Controller RGB DMX bieten die Möglichkeit, LED Module über eine Infrarot Fernbedienung zu steuern.

Mehr

Installation-Guide CT-ROUTER LTE / HSPA

Installation-Guide CT-ROUTER LTE / HSPA Installation-Guide CT-ROUTER LTE / HSPA Comtime GmbH Gutenbergring 22 22848 Norderstedt Tel: +49 40 554489-40 Fax: +49 40 554489-45 mail@comtime-com.de Der CT-Router LTE / HSPA ermöglicht einen einfachen

Mehr

' ' ' ' ' Ausnahmen an den Multimetern VC 820 und 840 ' ' Ver (c) Bernd Kunze '

' ' ' ' ' Ausnahmen an den Multimetern VC 820 und 840 ' ' Ver (c) Bernd Kunze ' Ausnahmen an den Multimetern VC 820 und 840 Ver. 1.0 20.11.2001 (c) Bernd Kunze VC 820 und VC 840 Baudrate: Die Baudrate beträgt 2400 Baud. Datenbits: Es werden 8 Datenbits übertragen Stopbits: Es wird

Mehr

luxcontrol-lichtsteuerungen DALI-Interface DALI Interface RS232 PS/S PC-Schnittstellenmodul für DALI-Systeme

luxcontrol-lichtsteuerungen DALI-Interface DALI Interface RS232 PS/S PC-Schnittstellenmodul für DALI-Systeme Interface RS232 PS/S PC-Schnittstellenmodul für -Systeme Produktbeschreibung Vereint Schnittstellenmodul und -Stromversorgung Integrierte 240 ma Stromversorgung für -Betriebsgeräte oder -Steuermodule ohne

Mehr