C. Sequentielle Logik

Größe: px
Ab Seite anzeigen:

Download "C. Sequentielle Logik"

Transkript

1 C. Sequentielle Logik C.1. Einordnung Schaltungen mit innerem Zustand. Nächster Zustand nach jeweils t. Im Prinzip getaktete Schaltungen. Speichernde Schaltungen. Grosser Zustandsraum. Höhere Informatik Systemprogrammierung: - Betriebssystemkonzepte, Ein- & Ausgabe E F Architektur: - Recherarchitektur, Instruktionssatz, Mikroarchitektur G K H J I Digitaltechnik Rechnerarithmetik: - Zahlendarstellung, Operatoren, Konvertierung... Digitale Schaltungen: - Zustandsmasch., Zähler, ALU, PLA, Optimierung Digitale Logik: - Gatter, digitale Signale, Signalausbreitung... C D B Elektronik Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 1

2 C.2. Abgrenzung Sequentielle Logik vs. Kombinatorische Logik Kombinatorische Logik - Idealisierung: Die Resultate sind sofort nach dem Anlegen der Eingangswerte da (verzögerungsfrei), Keine Rückführung von Ausgängen an den Eingang, Kein innerer Zustand, nur Eingangsvariablen. Kombinatorische Logik - Realisierung: Signallaufzeiten beschränkt (Lichtgeschwindigkeit, Schaltverzögerungen...), Herstellungstoleranzen unter anderem bei den Schaltzeiten von Schaltelementen, Kein definierter Zeitpunkt für den Übergang von einem Zustand zum nächsten. Sequentielle Logik: Rückkopplungen vom Ausgang zum Eingang ermöglichen einen Zustand zu halten, Zustandswechsel nach Ablauf aller Gatterlaufzeiten, ausgelöst durch den Takt, Physikalische Signal-/Gatterlaufzeiten werden berücksichtigt. => Speicherung, Ablaufsteuerung, Taktung möglich. Schaltnetze: kombinatorisch, ohne Zustand. Schaltwerke: Sequentiell, mit Zustand. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 2

3 C.2.1 Rückgekoppeltes UND-Gatter Einfachstes Schaltwerk: Annahme einer endlichen Gatterlaufzeit t, Der Ausgang hängt vom früheren Zustand ab, y(t + t) = a(t) y(t) : a y(t) a y(t) y(t + t) y(t + 2 t) y(t + 3 t) Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 3

4 C.2.2 Rückgekoppeltes Oder-Gatter Rückkopplung: Wertefolge für X bei Anfangskonfiguration Werte für X als Funktion des vorherigen Wertes Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 4

5 C.2.3 Rückgekoppeltes NOR-Gatter Wahrheitstafel eines NOR-Gatters zur Erinnerung: Schaltung: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 5

6 Wertefolge für X bei Anfangskonfiguration: Werte für X als Funktion des vorherigen Wertes: Schaltung schwingt für A=0! ( idealisierte Darstellung) t Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 6

7 C.2.4 RS-Flip-Flop Zwei wechselweise rückgekoppelte NOR-Gatter: Eine Möglichkeit ist: A=0, B=0, X=1, Y=0... Wie verhält sich das Schaltwerk bei unterschiedlichen Eingängen? 16 Belegungsvarianten für A, B, X, Y betrachten, pro Gatter eine Signallaufzeit t impliziert. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 7

8 Tabelle aller Zustandsübergänge: Stabile Zustände: 0,0,0,1, 0,0,1,0, 0,1,1,0, 1,0,0,1, 1,1,0,0, Instabile Zustände: 0,0,0,0, 0,0,1,1, 0,1,0,0, 0,1,0,1, 0,1,1,1, 1,0,0,0, 1,0,1,0, 1,0,1,1, 1,1,0,1, 1,1,1,0, 1,1,1,1, X(t)=X(t+ t), Y(t)=Y(t+ t), X(t) X(t+ t), Y(t) Y(t+ t), Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 8

9 Stabile Zustände bleiben unverändert bei konstantem Eingang: Instabile Zustände: für die Werte (A, B) gleich (0, 1), (1, 0), (1, 1), gehen nach einer gewissen Zeit in einen stabilen Zustand über, Werte X, Y ändern sich noch einmal oder zweimal und bleiben dann konstant; entsprechend der Tabelle der Zustandsübergänge. Zustandsabhängige Verhaltensweise: für den Fall, dass die Eingangswerte (A, B) = (0, 0), falls X Y: stabiles Verhalten (speichert), falls X=Y: metastabiles Verhalten => Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 9

10 C.2.5 Metastabiles Verhalten: A B X Y Unerwünschtes Verhalten, falls beide Eingänge gleichzeitig von 1 auf 0 fallen, die Schaltung kippt entweder nach (0, 1) oder nach (1, 0), evtl. erst nach sehr langer Zeit, evtl. oszilliert die Schaltung. Was tun wir nun angesichts des instabilen Verhaltens? Dafür sorgen, dass A und B nicht gleichzeitig von 1 auf 0 fallen. damit werden instabile und metastabile Zustände sicher vermieden, Am Einfachsten (A, B)=(1,1) ganz verbieten. Asynchrone EA-Geräte tendieren dazu Metastabilität zu verursachen. Diese Oszillation ist unerwünscht, dafür gibt es bessere Schaltungen. A B X Y Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 10

11 C.2.6 Speicherbaustein für eine Binärstelle: Mit (A, B) (1,1) ergeben sich 2 stabile Ausgangszustände {(1,0),(0,1)}: Ein Flip-Flop (hier RS-Flip-Flop) kann eine Binärstelle speichern, auch bistabile Kippstufe oder bistabiler Speicher genannt. Umbenennung der Ein- und Ausgänge R = A Reset, Löschen X = Q Ausgang S = B Set, Setzen Y = Q negierter Ausgang, Q-quer Verkürzte Wahrheitstafel eines RS-Flip-Flops: R S Next Q 0 0 Q Q bleibt unverändert (gespeichert) Setzen (Q wird 1) Löschen (Q wird 0) 1 1 Eingabekombination unerwünscht Blockschaltbild: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 11

12 Einsatzbeispiel: allgemein: Festhalten eines kurzzeitigen Wertes auch nach dessen Abklingen: konkret: Warnung eines Drucksensors D in einem Kraftwerk Drucksensor liefert ein binäres Signal (Set), RS-Flip-Flop hält das Signal fest, Warnlampe am Ausgang des FF, explizites Rücksetzen des Alarms durch Schalter Latch / Auffangregister: Wert D wird aufgefangen und gehalten (rastet ein), englisch eigentlich: Raste, Klinke, evtl. mit Reset -Taste: D Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 12

13 Zeitdiagramm - Reaktion des RS-Flip-Flops über die Zeit steigende Signalflanke triggert das Umschalten des Flip-Flops, Verzögerung und Einschwingzeit abhängig von der Gatterlaufzeit, nach 2 t ist das Flip-Flop eingeschwungen, Schaltimpuls kann auch länger sein. Realisierung auch mit NAND-Gattern Einsatz von NAND- statt NOR-Gattern Ausgänge invertiert ( De Morgan Law). Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 13

14 C.3. Asynchrone und synchrone Schaltwerke C.3.1 Asynchrone Schaltwerke Gatterlaufzeit bestimmt Zeitdauer bis stabiles Ergebnis vorliegt, veränderte Eingänge sorgen direkt für veränderte Ergebnisse, sehr schnelle Schaltungen möglich, zuverlässiges Design schwierig, aufwändiger Entwurf, Zeit ist Echtzeit. C.3.2 Synchrone Schaltwerke zentraler Takt Signal hat Zeit stabil zu werden Übernahme eines Eingabesignals nur zu festen Zeitpunkten langsamste Teilschaltung bestimmt maximale Taktfrequenz einfacher und systematischer Entwurf Zeit ist Taktzeit Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 14

15 C.3.3 Getaktetes RS-Flip-Flop Synchrone Schaltung Realisierung mit NOR-Gattern, Takt am Eingang C (Clock), Übernahme der Eingänge nur während einer Taktphase. Alternative Realisierung mit NAND-Gattern: Ausgänge invertiert ( De Morgan Law). Blockschaltbild: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 15

16 C.3.4 Zeitverhalten des getakteten RS-Flip-Flops Veränderungen finden nur während der 1-Phase des Taktsignals statt: C = 1, C = Active, C = True, C = Asserted Mehrere Veränderungen pro Taktphase möglich, letzte bleibt. Pegelgesteuerte Taktung (nicht Flankensteuerung). Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 16

17 C.3.5 Getaktetes RS-Flip-Flop im grösseren Schaltungkontext Problem: mögliche Kopplungen zw. Aus- & Eingang des Flip-Flops: selbst bei kurzen Taktphasen sind ungewollte Rückkoppelungen möglich, Veränderungen am Ausgang können Veränderungen am Eingang innerhalb einer Taktphase nach sich ziehen. Lösung: Master-Slave-RS-Flip-Flop Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 17

18 C.3.6 Master-Slave-RS-Flip-Flop Zweistufiges Flip-Flop: Komplementäres Eingangssignal erforderlich (R S oder R=0, S=0), Master Flip-Flop reagiert auf C=1, Slave Flip-Flop reagiert auf C=0. Takt auf 1: Master Flip-Flop nimmt Eingänge auf, Slave bleibt unverändert Takt auf 0: Master Flip-Flop ignoriert die Eingänge, Slave übernimmt Zustand des Masters Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 18

19 C.3.7 Zeitverhalten des Master-Slave-RS-Flip-Flop (2) Der Ausgang des Flip-Flops reagiert auf die absteigende Taktflanke. Schnelle Rückkoppelung über externes Schaltnetz nun unkritisch. QM: Q-Ausgang des Master-Flip-Flops Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 19

20 C.3.8 Flankengetriggerte Flip-Flops Nach außen sichtbares Verhalten eines Master-Slave-RS-Flip-Flops Übernahme der Eingänge nur bei (positiver) Taktflanke. Blockschaltbild flankengetriggerter RS-Flip-Flops positive Flankentriggerung positive Flanke = aufsteigende Flanke negative Flankentriggerung negative Flanke = absteigende Flanke Takteingang wird negiert Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 20

21 C.3.9 Mehrstufige Verarbeitung (Pipelining) Mit Master-Slave-Flip-Flops sind z.b. folgende Schaltwerke denkbar: R C S Q Q Schaltnetz R C S Q Q Schaltnetz R C S Q Q pro Takteinheit: logische Verarbeitung in den einzelnen zwischengeschalteten Schaltnetzen, Getaktete Weitergabe der Information an die nächste Stufe der Pipeline, nach drei Takten erscheint die verarbeitete Information am Ende, Ausgabe am Ende der Pipeline. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 21

22 Schieberegister mit Inverter als einfaches Beispiel: Annahme: alle Flip-Flops geben zu Beginn Q = 0 aus. R C S #1 Q Q R C Q R C Q S Q S Q #2 #3 Zeitverhalten Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 22

23 Ein einzelner Wert in obigen aufeinanderfolgenden Stufen. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 23

24 Weiterreichen aufeinanderfolgender Werte durch das Schaltwerk: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 24

25 C.3.10 D-Flip-Flop Synchroner Baustein: nur noch einen Dateneingang, (intern invertiert), mit Takteingang C: Verkürzte Wahrheitstafel eines D-Flip-Flops D C Q 0 0 Q Q bleibt unverändert wird übernommen 1 0 Q Q bleibt unverändert wird übernommen Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 25

26 Realisierung: Flankengetriggertes D-Flip-Flop: positive Flankentriggerung => negative Flankentriggerung Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 26

27 C.3.11 Register Eine Bank von Flip-Flops bilden ein Register: Speichert eine bestimmte Anzahl von Binärstellen, Clock C oder Register Select. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 27

28 C.3.12 JK-Flip-Flop RS-Flip-Flop mit zusätzlicher Umschaltfunktion (Toggle) in der Regel synchron und mit Master-Slave-Aufbau Verkürzte Wahrheitstafel eines JK-Flip-Flops: C J K Q 0 * * Q keine Änderung ohne Takt Q Rücksetzen (K=R) Setzen (J=S) Q Q wird invertiert (Toggle Q ) Blockschaltbilder: Positive & negative Flankentriggerung: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 28

29 Realisierung eines JK-Flip-Flop mit Master-Slave-Aufbau Spezialfall T-Flip-Flop: Toggle Flip-Flop mit einem Eingang T=K=J plus Takteingang, jeder Taktzyklus invertiert die Ausgänge, eignet sich als Frequenzteiler: Halber Takt Takt Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 29

30 C.4. Typische Schaltwerke C.4.1 Schieberegister m-stelliges Register für Binärstellen. Binärwerte im Register werden z.b. nach rechts geschoben. Realisierung mit D-Flip-Flops: Vielseitige Verwendbarkeit: Serie-Parallelwandlung von Daten an der seriellen Schnittstelle: Arithmetische und logische Operationen. Schieberegister für Links- und Rechtsschiebe-Operationen Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 30

31 Optionaler 1-aus-2-Multiplexer innerhalb des Shifters: Shift-Clock: Takt für jeweils einen Verschiebungsschritt, Left=true/false: Wählt den Eingabewert von Links bzw. Rechts, Right-In/Left-In: Eingabe für Verschiebung nach rechts bzw. links, Right-Out/Left-Out: Ausgabe für Verschiebung nach rechts bzw. links, Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 31

32 C.4.2 Asynchroner Zähler Zum Beispiel ein dreistelliger Binärzähler: zählt absteigende Flanken des Taktimpulses zählt von 0 bis 7 mit Wrap-around, eignet sich als Frequenzteiler. Aufbau mit JK-Flip-Flops im Toggle-Mode : Die Ausgänge wechseln die Polarität jeweils zum Taktzeitpunkt, Grundtakt liegt nur am linken Eingang an, sodann halbe/viertel/achtel Taktrate. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 32

33 Zeitverhalten Die absteigende Flanke wird durch alle Stufen durchgereicht, Dominoeffekt verzögert stabilen Zustand des Zählers, vielstellige Zähler erlauben keine hohe Taktrate. Das kann z.b. bei einem 32-Bit Register lange dauern. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 33

34 C.4.3 Synchroner Zähler Unmittelbarer Übergang aller beteiligten Flip-Flops pro Taktzyklus. Acht mögliche Zustände eines dreistelligen Binärzählers: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 34

35 C.4.4 Einsatz von JK-Flip-Flops Aufstellen einer Zustandsübergangstabelle für das JK-Flip-Flop: Übergang Q Q' J K d K Eingang irrelevant d K Eingang irrelevant 1 0 d 1 J Eingang irrelevant 1 1 d 0 J Eingang irrelevant Drei JK-Flip-Flops sind notwendig für einen dreistelligen Zähler: Der Takt ist jeweils für alle Flip-Flops identisch, Wie müssen Steuereingänge J und K angesprochen werden? Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 35

36 C.4.5 Gesucht Schaltnetze zur Ansteuerung der Flip-Flops Alle Flip-Flops werden ständig getaktet Ausgänge aller Flip-Flops bestimmen die aktuelle Ansteuerung. Schrittweises Fortschalten über MS Flip-Flops und Takt: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 36

37 C.4.6 Zustandsübergänge des Zählers Folgezustände ermitteln: 000 =>001 =>010 =>011 =>100 =>101 =>110 =>111 =>000 Übergänge pro Flip-Flop ermitteln: Ausgangszustand (und Ausgabe) Folgezustand Eingänge der Flip-Flops Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K d 0 d 1 d d 1 d d d d 0 1 d d d 1 d d 0 0 d 1 d d 0 1 d d d 0 d 0 1 d d 1 d 1 d 1 Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 37

38 C.4.7 Schaltnetzentwurf Eingänge für das Schaltnetz sind die Ausgänge Qi der Flip-Flops. Ausgänge sind Ansteuerungen Ji und Ki für die Flip-Flops. Beispiel: Schaltfunktion für den Schaltnetzausgang K2: Einsatz von Minimisierungstechniken für minimale Schaltungen, DNF für K2 = Q 0 Q 1 Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 38

39 C.4.8 Schaltfunktionen insgesamt Schaltnetz für 6 Schaltfunktionen: J 2 = Q 0 Q 1 K 2 = Q 0 Q 1 J 1 = Q 0 K 1 = Q 0 J 0 = 1 K 0 = 1 Realisierung der Schaltung: nur ein UND-Gatter erforderlich... Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 39

40 C.5. Systematischer Schaltwerkentwurf C.5.1 Entwurf von synchronen Schaltwerken mit internem Zustand Wie kommt man allgemein von Systemanforderungen zum Schaltwerk? Endliche Automaten als Systemmodell, endliche Menge von Zuständen. Übergänge zwischen den Zuständen: Abhängigkeit der Übergänge von vorherigen Zuständen, Abhängigkeit der Übergänge von Eingabewerten. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 40

41 C.5.2 Beispiel 1: dreistelliger Binärzähler acht Zustände für den dreistelligen Zähler. Direkte Ausgabe der Zustandsrepräsentation durch Flip-Flop-Ergebnisse. Keine Abhängigkeit der Zustandsübergänge von den Eingabewerten: unbedingte Übergänge pro Taktzyklus, hier keine externen Eingänge. Gesucht: allgemeines Verfahren zur Synthese synchroner Schaltwerken aus der Beschreibung endlicher Automaten Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 41

42 C.5.3 Beispiel 2: Hochwassererkennung Szenarium zur Wasserstandanzeige: Ausgabe W=1: Hochwasser, Ausgabe W=0: Niedrigwasser, Wasserstandssensoren: H=1 bzw. L=1, wenn Wasser oberhalb der Marke. Stabilisierung durch Hysterese: doppelte Wasserstandsmessung, Oszillation vermeiden. Schaltwerk mit Hysterese-Eigenschaft gesucht: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 42

43 C.5.4 Endlicher Automat zur Wasserstandsmessung Ausgaben gekoppelt an Zustände: Zustandsmenge des Automaten: Mindestens so viele Zustände vorsehen, wie mögliche Ausgaben. evtl. mehr, da Schaltwerk sich irgend etwas merkt Zustandsübergänge abhängig von Eingabewerten, Hier: [Niedrigwasser, Hochwasser]. Markierung der Kanten mit Kombinationen von Eingabewerten: nicht aufgeführte Kombinationen implizieren Verbleib in vorherigen Zustand entweder Zustandsübergang jeweils zum Taktzeitpunkt (synchron), oder Zustandsübergang bei einer Veränderung, Empfehlung: alle Kombinationen angeben. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 43

44 C.6. Typisierung v. Automaten - Moore-Automat Getaktetes System auf Vorschlag von E. Moore, Bell Labs. Eingabewerte und bisheriger Zustand führen zu Zustandsveränderungen, Eingabewerte wirken sich erst einen Takt später auf die Ausgabe aus, Ausgabewerte hängen nur vom augenblicklichem Zustand ab. Moore-Automat als Tupel: M = ( Q, Σ, Ω, δ, λ, q 0, F ) Q als endliche Zustandsmenge ( Q < ) Σ als Eingabealphabet ( Σ <, Q Σ = ) Ω als Ausgabealphabet ( Ω < ) δ als Übergangsfunktion δ : Q Σ Q ) λ als Ausgabefunktion λ : Q Ω ) q 0 Q als Startzustand F Q Menge der Endzustände... (?) Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 44

45 C.6.1 Mealy-Automat Ebenfalls getaktetes System, aber nach G. Mealy, IBM. Eingabewerte wirken auch direkt auf den Ausgang. Mealy-Automat als Tupel: M = ( Q, Σ, Ω, δ, λ, q 0, F ) Q als endliche Zustandsmenge ( Q < ) Σ als Eingabealphabet ( Σ <, Q Σ = ) Ω als Ausgabealphabet ( Ω < ) δ als Übergangsfunktion δ : Q Σ Q ) λ als Ausgabefunktion λ : Q Σ Ω ) q 0 Q als Startzustand F Q Menge der Endzustände... => Γυτ γεβρυελλτ Λοεωε Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 45

46 C.6.2 Entwurfsschritte für einen Moore-Automaten 1. Zustandsdiagramm und Zustandstabelle erstellen. 2. binäre Zustandscodierung, binäre Zustandstabelle. 3. Flip-Flop-Typ auswählen, Flip-Flop-Ansteuerung in Zustandstabelle. 4. Wahrheitstabelle für Ausgabefunktionen. 5. Minimisieren von Ansteuerungs- und Ausgabefunktionen. 6. Aufbau der Schaltung. C.6.3 Entwurfsschritte für einen Mealy-Automaten 1. Zustandsdiagramm bzw. Zustandstabelle, einschließlich Ausgaben. 2. binäre Zustands-Codierung & -tabelle, einschließlich Ausgaben. 3. Flip-Flop-Typ auswählen, Flip-Flop-Ansteuerung in Zustandstabelle. 4. (entfällt, da schon unter 1. & 2.) 5. Minimisieren von Ansteuerungs- und Ausgabefunktionen. 6. Aufbau der Schaltung. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 46

47 C.6.4 Moore-Automat für Hochwasser-Erkennung Schritt 1a: Zustandsdiagramm erstellen Ausgabewerte y = y 1, y 2... y m (hier m = 1 und y 1 = W), Ausgabewerte werden hinter die Zustandsbezeichnung geschrieben, Eingabewerte werden als Tupelwerte direkt auf die Kanten gezeichnet, verkürzte Darstellung der Eingabewerte/-variablen. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 47

48 Schritt 1b: Zustandstabelle erstellen alle Kanten bzw. Zustandsübergänge erfassen, gleichwertig mit Zustandsdiagramm (aber ohne Ausgaben) Zustände Eingänge H L Folgezustände NW 0 d NW NW 1 0 NW NW 1 1 HW HW 0 0 NW HW d 1 HW HW 1 0 HW Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 48

49 Schritt 2: binäre Zustandscodierung & -tabelle festlegen. Die Automatenzustände müssen im zweiten Schritt binär codiert werden, Hier nur ein Flip-Flop erforderlich zur Zustandsrepräsentation, Hier: NW = 1, HW = 0 (entspricht nicht der Ausgabe W). Zustände Q 0 Eingänge H L Folgezustände Q 0 NW 1 0 d NW 1 NW NW 1 NW HW 0 HW NW 1 HW 0 d 1 HW 0 HW HW 0 Auf Vollständigkeit der Zustände achten! (sonst evtl. unbest. Verhalten), spätestens hier müssen alle möglichen Übergänge erfasst werden, auch Zustände außerhalb des Automaten müssen erfasst werden, z.b. vierter Zustand bei Automat mit drei Zuständen und zwei Flip-Flops.. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 49

50 Schritt 3a: Auswahl JK-Flip-Flops, Ermitteln der Flip-Flop-Ansteuerung, Zustände Eingänge Folgezust. Ansteuerung. Q 0 H L Q 0 J 0 K d 1 d d d d 0 d d d Gestützt auf Zustandsübergangstabelle JK-Flip-Flop (siehe oben): Übergang Q Q' J K d K Eingang irrelevant d K Eingang irrelevant 1 0 d 1 J Eingang irrelevant 1 1 d 0 J Eingang irrelevant Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 50

51 Schritt 4: Ausgabefunktionen in Abhängigkeit vom Zustand aufstellen: Schritt 5: Minimisieren der Ansteuer- und Ausgabefunktionen: (andere Zustandscodierung ergäbe noch einfachere Ausgabefunktion) J 0 = L H K 0 = L H W = Q 0 Schritt 6: Aufbau der Schaltung: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 51

52 C.6.5 Mealy-Automat für Hochwasser-Erkennung Schritt 1a: Zustandsdiagramm Ein- und Ausgabewerte als Tupel direkt auf die Kanten zeichnen Schritt 1b: Zustandstabelle Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 52

53 Schritt 2: binäre Zustandscodierung & -tabelle, Ausgabewerte wie beim Moore-Automaten mit Ausnahme der zusätzlichen Ausgaben. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 53

54 Schritt 3: Auswahl JK-Flip-Flops, Ermitteln der Flip-Flop-Ansteuerung Tabelle identisch zum Moore-Automaten (zusätzlich Ausgänge). Schritt 4 entfällt. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 54

55 Schritt 5: Minimisieren der Ansteuer- und Ausgabefunktionen: J 0 = L H K 0 = L H W = Q 0 H + Q 0 L + H L Schritt 6: Aufbau der Schaltung Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 55

56 C.7. Sequenzerkennung C.7.1 Moore-Automat zur Sequenzerkennung Sequenzerkenner ein Eingang E mit verschiedenen Eingabewerten pro Taktzyklus ein Ausgang Y ist 1, falls Sequenz erkannt (hier 010 ) Schritt 1a: Zustandsdiagramm: Bedeutung der Zustände A: bisher nichts erkannt B: 0 erkannt C: 01 erkannt D: 010 erkannt Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 56

57 Schritt 1b: Zustandstabelle => Schritt 2a: binäre Zustandscodierung: Schritt 2b: Zustands-Tabelle: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 57

58 Schritt 3: JK-Flip-Flops und deren Ansteuerung Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 58

59 Schritt 4: Ausgabefunktion in Abhängigkeit vom Zustand Schritt 5: Minimisieren Trivialerweise ergibt sich: Y = Q1 Q0 Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 59

60 Flip-Flop #1 J 1 = Q 0 E K 1 = Q 0 E + Q 0 E Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 60

61 Flip-Flop #0 J 0 = E K 0 = E Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 61

62 Schritt 6: Aufbau der Schaltung Moore Automat erkennt nun die Sequenz 010. Moore-Automat als Tupel: M = ( Q, Σ, Ω, δ, λ, q 0, F ) Q als endliche Zustandsmenge { A, B, C, D } Σ als Eingabealphabet { 0, 1 } Ω als Ausgabealphabet { 0, 1 } q 0 = A als Startzustand F = D Endzustand Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 62

63 C.7.2 Mealy-Automat zur Sequenzerkennung Schritt 1a: Zustandsdiagramm: Kanten werden mit Eingabe E und Ausgabe Y beschriftet, Eingabewert E = { 0, 1 } - allgemein E = [ E 1, E 2,... E n ], Ausgabewert Y = { 0, 1 } - allgemein Y = [ Y 1, Y 2,... Y m ]. Bedeutung der Zustände A.. D entsprechend dem Moore-Automaten. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 63

64 Schritt 1b: Zustandstabelle erste drei Spalten identisch zum Moore-Automaten, ergänzt um Ausgangssignal: Schritt 2: binäre Zustandscodierung, binäre Zustandstabelle identisch zu Moore-Automat (bis auf zusätzliche Ausgangsspalte). Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 64

65 Schritt 3: JK-Flip-Flops und deren Ansteuerung identisch zu Moore-Automat (bis auf zusätzliche Ausgangsspalte) Schritt 4: entfällt Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 65

66 Schritt 5: Minimisieren Flip-Flop-Ansteuerfunktionen identisch zu Moore-Automat, Ausgabefunktion Y (ohne Verzögerung um einen Takt): Y= Q 1 Q 0 E Schritt 6: Aufbau der Schaltung: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 66

67 C.7.3 Vergleich zwischen Moore- und Mealy-Automaten Beide geeignet zum Aufbau beliebiger synchroner Schaltwerke. Vorteile Moore-Automat: geringerer Schaltungsaufwand, wenn Ausgabewerte nur vom Zustand abhängen taktsynchrone Ausgabe Nachteile Moore-Automat: Reaktion erst im nächsten Taktzyklus Nachteile Mealy-Automat: asynchrone Eingabesignale bewirken asynchrone Ausgabesignale Vorteile Mealy-Automat: Ausgang kann sofort auf Eingänge reagieren geringerer Schaltungsaufwand, wenn Übergänge zum selben Zustand verschiedene Ausgabewerte erzeugen sollen, z.b.: Modifiziertes JK-Flip-Flop: Ausgabe soll anzeigen, dass sich Flip-Flop-Zustand geändert hat. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 67

68 C.8. Auswahl bzw. Einfluss des Flip-Flop-Typs Beispiel: Synchroner Zähler von 0 bis 2: Reset-Leitung R: (R=1) => zurück zur 0 Realisierung als Moore-Automat Schritt 1a: Zustandsdiagramm: Schritt 1b: Zustandstabelle: sei dem Leser überlassen, siehe auch Schritt 2... Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 68

69 Schritt 2a: binäre Zustandscodierung: Nur 3 Zustände anstatt 4. Schritt 2b: binäre Zustandstabelle: Übergangsfunktion δ in den Folgezustand, abhängig von Eingabe und aktuellem Zustand: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 69

70 Schritt 3: JK-Flip-Flops und deren Ansteuerung: Schritt 4: Ausgabefunktionen trivial, da die Zustände direkt in Ausgaben abbildbar sind. Schritt 5: Minimisieren: J 1 = Q 0 R K 1 = 1 J 0 = Q 1 R K 0 = 1 Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 70

71 Schritt 6: Aufbau der Schaltung mit JK Flip-Flops Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 71

72 C.8.1 T-Flip-Flops als Alternative Schritt 3: T-Flip-Flops und deren Ansteuerung: Schritt 5: Minimisieren: T 1 = Q 1 + Q 0 R T 0 = Q 0 + Q 1 R Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 72

73 Schritt 6: Aufbau der Schaltung mit T-Flip-Flops: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 73

74 C.8.2 Alternative: D-FlipFlops Schritt 3: D-FlipFlops und deren Ansteuerung: Schritt 5: Minimisieren D 1 = Q 0 R D 0 = Q 0 Q 1 R Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 74

75 Schritt 6: Aufbau der Schaltung mit D-Flip-Flops: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 75

76 C.8.3 Pragmatik des Flip-Flop-Typs Jedes getaktete Flip-Flop kann verwendet werden Unterschiede in der Schaltung JK-Flip-Flop tendiert zu besser minimisierbaren Ansteuergleichungen, JK-Flip-Flop impliziert viele don t cares in der Übergangstabelle. einfach anzusteuernde FF tendieren zu komplexen Ansteuergleichungen: z.b. D-Flip-Flops aber unser Beispiel zeigt eine Ausnahme. Die Wahl der Flip-Flops ergibt sich meist aus der aktuellen Verfügbarkeit von bestimmten Bausteinen/-elementen. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 76

77 C.8.4 Reduktion von Zuständen Weniger Flip-Flops durch Reduktion der Zustände. Zust. mit gleicher Ausgabe und gleichen Folgezust. zusammenfassen. Zustandsdiagramm eines Moore-Automaten: Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 77

78 C.8.5 Zustandsoptimierung bei einem Mealy-Automaten Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 78

79 C.8.6 Zusammenfassung Schaltwerke Logische Ebene. Schaltwerke: sequentiell & zustandsbehaftet im Unterschied zu Schaltnetzen, Zustände in Flip-Flops speichern (RS, D, JK, T), asynchrone und synchrone Schaltwerke. Schaltwerkentwurf Moore-Automat (Ausgabe eines inneren Zustandes), Mealy-Automat (direkte und indirekte Ausgabe), systematischer Entwurf. Zustandsübergänge ansteuern: Gestützt auf alte Zustände in den FlipFlops und auf aktuelle Eingangswerte, Über ein (kombinatorisches) Schaltnetz. Ausgangssignale erzeugen mit einem kombinatorischen Schaltnetz. Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 79

80 Inhaltsverzeichnis für Kapitel C C. Sequentielle Logik...1 C.1. Einordnung...1 C.2. Abgrenzung Sequentielle Logik vs. Kombinatorische Logik...2 C.2.1 Rückgekoppeltes UND-Gatter...3 C.2.2 Rückgekoppeltes Oder-Gatter...4 C.2.3 Rückgekoppeltes NOR-Gatter...5 C.2.4 RS-Flip-Flop...7 C.2.5 Was tun wir nun angesichts des instabilen Verhaltens?...10 C.3. Asynchrone und synchrone Schaltwerke...14 C.3.1 Asynchrone Schaltwerke...14 C.3.2 Synchrone Schaltwerke...14 C.3.3 Getaktetes RS-Flip-Flop...15 C.3.4 Zeitverhalten des getakteten RS-Flip-Flops...16 C.3.5 Getaktetes RS-Flip-Flop im grösseren Schaltungkontext...17 C.3.6 Master-Slave-RS-Flip-Flop...18 C.3.7 Zeitverhalten des Master-Slave-RS-Flip-Flop (2)...19 C.3.8 Flankengetriggerte Flip-Flops...20 C.3.9 Mehrstufige Verarbeitung (Pipelining)...21 C.3.10 D-Flip-Flop...25 C.3.11 Register...27 C.3.12 JK-Flip-Flop...28 C.4. Typische Schaltwerke...30 C.4.1 Schieberegister...30 C.4.2 Schieberegister für Links- und Rechtsschiebe-Operationen...31 C.4.3 Asynchroner Zähler...32 C.4.4 Synchroner Zähler...34 Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 80

81 C.4.5 Einsatz von JK-Flip-Flops...35 C.4.6 Gesucht Schaltnetze zur Ansteuerung der Flip-Flops...36 C.4.7 Zustandsübergänge des Zählers...37 C.4.8 Schaltnetzentwurf...38 C.4.9 Schaltfunktionen insgesamt...39 C.5. Systematischer Schaltwerkentwurf...40 C.5.1 Entwurf von synchronen Schaltwerken mit internem Zustand...40 C.5.2 Beispiel 1: dreistelliger Binärzähler...41 C.5.3 Beispiel 2: Hochwassererkennung...42 C.5.4 Endlicher Automat zur Wasserstandsmessung...43 C.6. Typisierung v. Automaten - Moore-Automat...44 C.6.1 Mealy-Automat...45 C.6.2 Entwurfsschritte für einen Moore-Automaten...46 C.6.3 Entwurfsschritte für einen Mealy-Automaten...46 C.6.4 Moore-Automat für Hochwasser-Erkennung...47 C.6.5 Mealy-Automat für Hochwasser-Erkennung...52 C.7. Sequenzerkennung...56 C.7.1 Moore-Automat zur Sequenzerkennung...56 C.7.2 Mealy-Automat zur Sequenzerkennung...63 C.7.3 Vergleich zwischen Moore- und Mealy-Automaten...67 C.8. Auswahl bzw. Einfluss des Flip-Flop-Typs...68 C.8.1 T-Flip-Flops als Alternative...72 C.8.2 Alternative: D-FlipFlops...74 C.8.3 Pragmatik des FlipFlop-Typs...76 C.8.4 Reduktion von Zuständen...77 C.8.5 Zustandsoptimierung bei einem Mealy-Automaten...78 C.8.6 Zusammenfassung Schaltwerke...79 Technische Informatik 2 Winter 2009/10, P. Schulthess & F. Hauck, VS Informatik, Ulm C - 81

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 3 Sequentielle

Mehr

C. Sequentielle Logik

C. Sequentielle Logik C. Sequentielle Logik C.1. Einordnung Schaltungen mit innerem Zustand. Nächster Zustand nach jeweils t. Im Prinzip getaktete Schaltungen. Speichernde Schaltungen. Grosser Zustandsraum. Höhere Informatik

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : igitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : Digitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik WS 2013/14 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 20. November 2013 1/48 1 Sequenzielle

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik Sommer 2014 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 19. Mai 2014 1/43 1 Sequenzielle

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell: inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

Beispiele sequentieller Schaltungen

Beispiele sequentieller Schaltungen eispiele sequentieller Schaltungen Synchroner 3-stelliger inärzähler: Schieberegister mit serieller un paralleler Ein-/usgabe: Technische Informatik I, SS 2. Strey, Universität Ulm Kapitel : Sequentielle

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Elektrische Logiksysteme mit Rückführung

Elektrische Logiksysteme mit Rückführung Elektrische Logiksysteme mit Rückführung Christoph Mahnke 22.06.2006 1 Trigger 1.1 RS-Trigger Ein RS-Trigger oder Flip-Flop ist ein elektronisches Bauelement, welches 2 stabile Zustände einnehmen und diese

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik Sommer 2014 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 15. Mai 2014 1/50 1 Boolesche Funktionen

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Sequentielle Schaltungen (1)

Sequentielle Schaltungen (1) equentielle chaltungen () equentielle chaltung: chaltung, deren sowohl von den momentan anliegenden als auch von früheren Eingangsbelegungen abhängen. Wesentliche Elemente einer PU wie egister, Zähler

Mehr

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 Aufgabe 1 An einem Schaltkreis, der ein Register enthält, messen Sie die in Abb. 1 gezeigte Signalfolge. Handelt es sich dabei um ein D-Flipflop- oder um ein

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik Schaltwerke ls Schaltwerke bezeichnet man Logikschaltungen mit Speicher, die interne Zustände behalten können. Besitzt der Speicher neben den statischen ateneingängen noch einen dynamischen Eingang, der

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

Klausur-Nachbesprechung

Klausur-Nachbesprechung Universität der Bundeswehr München Rechnerorganisation I Fakultät für Informatik HT 23 Institut für Technische Informatik Blatt Klausur-Nachbesprechung Aufgabe -: Multiple Choice Geben Sie für die folgenden

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 17/18 Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 3. Vorlesung Dr.-Ing. Wolfgang Heenes 28. April 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Verilog HDL, Simulation und

Mehr

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN GTI ÜBUNG FLIPFLOPS UND AUTOMATEN Aufgabe Flipflps 2 Beschreibung In dieser Aufgabe sllen die Eigenschaften ausgesuchter Flipflpschaltungen untersucht werden. Die Verzögerungszeit eines jeden Lgikgatters

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6 Inhaltsverzeichnis 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1 2 Operationssystem der Schaltalgebra 4 3 Boolesche Funktionen 6 4 Boolesche Funktionen kombinatorischer Schaltungen 8 4.1 Begriffsbestimmung

Mehr

2.6 Verdeutlichung verwendeter Begriffe

2.6 Verdeutlichung verwendeter Begriffe 2.6 Verdeutlichung verwendeter Begriffe endlich/finit: die Mengen der Zustände und der Ein- bzw. Ausgabezeichen sind endlich synchron: die Ausgabezeichen erscheinen synchron mit dem Einlauf der Eingabezeichen

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch omutertechnik r. Wolfgang Koch 4.3 Schaltwerke, Sequentielle Schaltungen Seicher, Register... : Frühere Eingaben (innere Zustände) sielen eine Rolle (werden geseichert) Friedrich Schiller University Jena

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1 Schaltwerke (13) - Automaten (13.1) α SCHALTWERKE (13) [04.06.02, Folie 481, Übungen 07] Schaltwerke sind wesentliche Funktionseinheiten eines Computers. Beispiele hierfür sind das Rechen- und das Leitwerk

Mehr

Endliche Automaten. Im Hauptseminar Neuronale Netze LMU München, WS 2016/17

Endliche Automaten. Im Hauptseminar Neuronale Netze LMU München, WS 2016/17 Endliche Automaten Im Hauptseminar Neuronale Netze LMU München, WS 2016/17 RS- Flipflop RS-Flipflop Ausgangszustand 0 1 0 1 0 1 Set Reset neuer Zustand 0 0 0 0 0 1 1 0 1 1 0 1 0 1 0 0 1 0 Was ist ein endlicher

Mehr

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen Rechnerstrukturen 3b. Endliche Automaten Ziele Modellierung und Realisierung von Steuerungen Beispiele Autoelektronik: ABS-System Consumer: Kamera, Waschmaschine, CD-Player, Steuerung technischer Anlagen

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

3 Verarbeitung und Speicherung elementarer Daten

3 Verarbeitung und Speicherung elementarer Daten 3 Verarbeitung und Speicherung elementarer Daten 3.1 Boolsche Algebra Definition: Eine Boolsche Algebra ist eine Menge B mit den darauf definierten zweistelligen Verknüpfungen (+,*) sowie der einstelligen

Mehr

Synthese digitaler Schaltungen Aufgabensammlung

Synthese digitaler Schaltungen Aufgabensammlung Technische Universität Ilmenau Fakultät für Elektrotechnik und Informationstechnik Fachgebiet Elektronische Schaltungen und Systeme Dr. Ing. Steffen Arlt Synthese digitaler Schaltungen Aufgabensammlung.

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Arndt Bode Einführung in die Rechnerarchitektur Wintersemester 2016/2017 Lösungsvorschlag

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

7. Schaltwerke und Automaten

7. Schaltwerke und Automaten 7 Schaltwerke un Automaten Folie 7. Schaltwerke un Automaten 7. Allgemeine Begriffe Bekannt: ) Schaltnetze: Verknüpfung mehrerer Eingangsvariablen ohne Rückkopplung es Ergebnisses 2) Flipflops: Speicherfähigkeit

Mehr

Hardwarepraktikum WS 2001/02

Hardwarepraktikum WS 2001/02 Hardwarepraktikum W / Versuch equentielle ysteme III Gruppe 68: enise Baldauf, 474 Thomas Winter, 4778 Michael Grieswald, 496 hemnitz, den.. Aufgabenstellungen zur Vorbereitung Aufgabe >> Beschreiben ie

Mehr

Grundstruktur von Schaltwerken

Grundstruktur von Schaltwerken Digitaltechnik Teil1.1 THEMA Grundstruktur von Schaltwerken Beschreibung Schaltwerke benutzen im Gegensatz zu einfachen Netzwerken auch Speicherbausteine, d.h. sie haben ein Gedächnis, die Schaltung kann

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): Lösung Nachklausur: DT II, am 22..5 ufgabe, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b)

Mehr

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08 Rechnerorganisation Mathematische Grundlagen (1) Boolesche Algebren: : BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen (9) Rechneraufbau

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

C Beispiel: Siebensegmentanzeige. Typische Anzeige für Ziffern a. f g. e d. Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d

C Beispiel: Siebensegmentanzeige. Typische Anzeige für Ziffern a. f g. e d. Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d 6.3 Beispiel: Siebensegmentanzeige Typische Anzeige für Ziffern a f g b 0 1 2 3 4 5 6 7 8 9 e d c Schaltfunktionen zur Ansteuerung der Segmente Parameter: binär codierte Zahl bzw. Ziffer Gesucht: Schaltfunktion

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Versuch: D2 Zähler und Ampelschaltung

Versuch: D2 Zähler und Ampelschaltung Versuch: D2 Zähler und Ampelschaltung Ziel dieses Versuches: asynchrone und synchrone Zähler entwerfen, aufbauen und untersuchen, damit eine Ampelschaltung betreiben Inhalte: asynchroner 4 Bit-Zähler,

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

Speicherregister/Latches (1) 1

Speicherregister/Latches (1) 1 Informationstechnik Digitaltechnik Speicherregister/Latches (1) 1 Typisch in der Mikroprozessortechnik ist, dass viele Signale gleichzeitig mit identischen Schaltungskomponenten verarbeitet werden. Beispiele:

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. Flip-Flops (FF) 4.3.1 Flip-Flops (FF) Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. Flip-Flops (FF) 4.3.1 Flip-Flops (FF) Dr. Wolfgang Koch omputertechnik r. Wolfgang Koch 4.3 chwerke, equentielle chungen peicher, egister... : Frühere Eingaben (innere Zustände) spielen eine olle (werden gespeichert) Friedrich chiller University ena epartment

Mehr

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN Grundlagenlabor Digitaltechnik Prof. Dr.-Ing. Walter Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik Universität Bremen ITEM GUNDLAGENLABO DIGITALTEHNI VEUH 4 VEUHTHEMA FLIP-FLOP AL

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): ufgabe 1, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b) Ergänzen Sie für Ihre Schaltung in

Mehr