SMP Übung 2 1. Aufgabe

Größe: px
Ab Seite anzeigen:

Download "SMP Übung 2 1. Aufgabe"

Transkript

1 SMP Übung 2 1. Aufgabe a) Kilo: K = 2 10 = Mega: M = 2 20 = Giga: G = 2 30 = Tera: T = 2 40 = b) Der Prozessor hat 30 Adressleitungen A[31..2], mit denen er 2 30 (1G) Worte adressieren kann. Eine Speicherzelle umfasst hier 32 Bit bzw. 4 Byte bzw. 1 Wort, da es sich um einen 32-Bit-Prozessor handelt. Demzufolge kann der Prozessor 2 30 Speicherzellen adressieren. (Durch die ByteEnable-Signale BE#[3..0] kann der Prozessor auch innerhalb eines Wortes das gewünschte Byte oder Halbwort einzeln ansprechen.) c) Der -Baustein hat 16 Adressleitungen, hat also einen Adreßraum von Es handelt sich um Byteadressen, weil der Baustein 8 Datenleitungen (8 Bit) besitzt. Deshalb hat er eine Kapazität von 2 16 Byte = 64 KByte d) Der RAM-Baustein hat 19 Adressleitungen und 8 Datenleitungen. Man erhält 2 19 Byte = 512 KByte e) 8 MByte / 512 KByte = 16 RAM-Bausteine f) 512 KByte / 64KByte = 8 -Bausteine 1

2 Definition von Begriffen bei der Speicheradressierung Seitennummer Wortnummer (Adr. im Speicher) ADRHigh ADRLow ADRByte Byteadresse (32 Bit) xxxx xxxx xxxx xxxx xx xx Seite (Seitenadressbereich) x = 0 oder Basisadresse xxxx xxxx xxxx xxxx xx ** Adressmaske für Speicherbaustein mit 20 Bit Adresse x = 0 oder 1 * = nicht angeschlossen 2

3 SMP Übung 2 2. Aufgabe Vorüberlegungen: 1. Der Prozessor adressiert mit einer Wortbreite von 32 Bit. Jeder der Bausteine hat 8 Datenleitungen. Demzufolge muß man 4 Bausteine aneinanderreihen. 2. Für die RAM-Bausteine gilt: Bei einer Bausteinkapazität von 512 KByte ist die kleinstmögliche Speicherkapazität für 32 Bit Wortbreite 4*512 KByte = 2 MByte. Man braucht 2 solcher Speicherbänke, um 4 MByte zu realisieren. 3. Für die -Bausteine gilt: 4*64 KByte = 256 KByte. Eine Speicherbank reicht aus. Folgende Bausteine und Anschlüsse werden also benötigt: 8x RAM Daten (8 Bit) Adresse (19 Bit) 4x Daten (8 Bit) Adresse (16 Bit) (Bei RAM und außerdem: BUSCLK, READY# und CSTART#) V SS V CC Adresse (30 Bit) OE# R/W# OE# Bausteinansteuerung 1x CPU Daten (32 Bit) BE#[3..0] 1x Adressdekodierer CSTART# BUSCLK READY# VA# R/W# A[31..2] VA# BE#[3..0] (Hinweis : Man schreibt für CSauch ) 3

4 RAM-Ansteuerung, Prinzip VA# ByteEnable#[3.. 0] Adressdekoder für RAMs ADR High!CSi# = Seitennummer und VA und BEi, OEi# = 0 CS3# CS2# CS1# CS0# CPU Low BUSCLK R/W# M3 M2 M1 M0 READY# DATA Adresse ADRHigh ADRLow ADRByte Seitennummer Adr. im Speicher interne Adresse 4

5 VA# A Adressdecoder Chip-Select- Signale BUSCLK CSTART READY# Speicher- Steuerung A[20:2] Für alle RAM- und -Bausteine: OE# = 0 19 RAM1 3 CSRAM1 3 RAM1 2 CSRAM1 2 RAM1 1 CSRAM1 1 RAM1 0 CSRAM1 0 d3 d2 d1 d0 RAM2 3 CSRAM2 3 RAM2 2 CSRAM2 2 RAM2 1 CSRAM2 1 RAM2 0 CSRAM2 0 A[17:2] d3 d2 d1 d0 D d3 d2 d1 d CS 3 CS 2 CS 1 CS 0 d3 d2 d1 d0 5

6 SMP Übung 2 Lesezyklus: ADR VA CS DataFromMem READY CSi = Seitennummer und VA und BEi READY#: generiert der Speicher (im Buszyklus Z3 und Z0) CPU übernimmt BUSCLK Z0 Z1 Z2 Z3 DR[31:2], BE#[3:0] wechselt Adresse stabil CSTART# VA# DATA[31:0] (vom Speicher) Valid Address R/W# (nur RAM) READY# read Chip Select 6

7 SMP Übung 2 : Schreibzyklus: ADR VA CS DataToMem READY CSi = Seitennummer und VA und BEi READY#: generiert der Speicher (im Buszyklus Z3 und Z0) BUSCLK Z0 Z1 Z2 Z3 ADR[31:2], BE#[3:0] wechselt Adresse stabil CSTART# VA# Valid Address DATA[31:0] (von CPU) R/W# READY# write write Memory Chip Select 7

8 SMP Übung 2 - Lösungsvorschlag Der Adressdekodierer wandelt die Signale der CPU in die Bausteinansteuerung um. Dazu überlegt man sich logische Ansteuergleichungen, die den Diagrammen entsprechen. Um Verwechslungen des booleschen NICHT- Operators mit Active-Low Signalen zu vermeiden, benutzen wir für boolesche Gleichungen folgende Syntax: * ist die boolesche UND-Verknüpfung,! ist die boolesche NICHT-Funktion, + ist die boolesche ODER-Funktion Beispiel: =!A bedeutet hier, dass das Signal auf logisch 0 steht, wenn A = 1. Da Chip Select ein Active-Low Signal ist, ist dann der zugehörige Baustein angewählt. Für das ergibt sich folgendes: Sobald eine richtige Adresse und Valid Address vorliegt, soll Chip Select gesetzt werden. Eine richtige Adresse liegt dann vor, wenn die höherwertigen Adressbits gleich der gewählten -Seitenadresse sind. Zusätzlich werden die Byte-Enable-Signale berücksichtigt.! [3 : 0] (Seite *!VA# ) *!BE# [3 : 0] Seite ist der Adressbereich, in der die Speicherbank liegt. (gewählt wird A[31..18] = ) Seite!A31*!A30*!A29*!A28*!A27*!A26*!A25*!A24*!A23*!A22*!A21*!A20*!A19*!A18 Die Signale OEi# werden fest auf 0 (aktiv low) verdrahtet. OE# [3..0]

9 SMP Übung 2 - Lösungsvorschlag Es fehlen jetzt noch die Gleichungen für die Adress- und Datenleitungen: Romadr[15...0] d[7..0] Rom 0 d[7..0] Rom 2 D[7..0] A[17..2] D[23..16] Wortnummer, identisch für alle -Bausteine d[7..0] Rom 1 d[7..0] Rom 3 D[15..8] D[31..24] Jedes ist einen Datenbyte zugeordnet Beim RAM kommt man mit den gleichen Überlegungen auf folgende Gleichungen: Ramadr[18...0] d[7..0] Ram 0 d[7..0] Ram 2 A[20..2] D[7..0] D[23..16] d[7..0] Ram 1 d[7..0] Ram 3 D[15..8] D[31..24] Für die erste Speicherbank wird die Basisadresse 0x gewählt RAM1Seite!A31*!A30*!A29*!A28*!A27*!A26*!A25*!A24*!A23*!A22*A21! CSRAM#[3..0] (RAM1Seite *!VA# ) *!BE#[3..0] Für die zweite Speicherbank wird die Basisadresse 0x gewählt: RAM2Seite!A31*!A30*!A29*!A28*!A27*!A26*!A25*!A24*!A23*A22*!A21! CSRAM#[3..0] (RAM2Seite *!VA# ) *!BE# [3..0] Die Output-Enable-Signale werden auf aktiv low verdrahtet. OERAM1# [3..0] OERAM2# [3..0]

10 SMP Übung 2 - Lösungsvorschlag A[ ] 0xFFFF FFFF 64 K (Worte) 512 K (Worte) Speicherbelegung 0x005F FFFF 0x x003F FFFF 0x RAM 2 RAM 1 RAM2Seite =!A31 *!A30 *!A29 *!A28 *!A27 *!A26 *!A25 *!A24 *!A23 * A22 *!A K (Worte) 0x0003 FFFF 0x Bit 64 K (Worte) 10

11 SMP Übung 2 - Lösungsvorschlag Zum besseren Verständnis des Prinzips der Ansteuerung von Speicherbausteinen kann das Beispielsystem MemoryControl.sim mit dem Simulator geöffnet werden. Hier werden die Adress- und Datenleitungen nicht mit einer CPU verbunden, sondern mit manuell bedienbaren Schaltern. Dadurch kann man direkt die Schreib- und Lesezyklen anstoßen, ohne ein Assemblerprogramm für eine CPU schreiben zu müssen. Achtung: Im Simulator stehen nur asynchrone Speichermodule zur Verfügung. Daher entfallen hier Signale wie BUSCLK, CSTART# oder READY#. Out[15-11] ADR15_ADR0 16 Schalter Out[10] Out[9-7] A[15-7] Logic Decode_ A[15-11] Logic Decode_RAM Demux ToggleRAM Out[6-0] A[6-0] A[9-0] A[9-0] 2 Schalter OE_RW OE# (alle) WE# (nur RAMs) 0 (128B) RAM0 (1KB) RAM1 (1KB) 8 LEDs D_IN D[7-0] D_IN[7-0] D_OUT D_IN D_OUT[7-0] 8 Schalter D_OUT 11

Folie 1. Folie 2. FH-Augsburg. FH-Augsburg

Folie 1. Folie 2. FH-Augsburg. FH-Augsburg Folie 1 Inhaltsverzeichnis 1 1. Inhaltsverzeichnis 1-3 4. Anschluss von Speicher und Peripherie 5. Anschluss eines 16-Bit Speichers 6. Anschluss eines 16-Bit Speichers mit Bytezugriff 7. Abbildung Speicherbits

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Kapitel 18. Externe Komponenten

Kapitel 18. Externe Komponenten Kapitel 18 Externe Komponenten 31.05.11 K.Kraft E:\MCT_Vorlesung\MCT2011\Externe_31\Externe.odt 18-1 Anschluss von externen Komponenten Einfachste Art : Direkt an einem Port Beispiel Ausgabe : 7-Strich

Mehr

Speicher Typen. TI-Übung 5. Speicher SRAM. Speicher DRAM. SRAM vs. DRAM (EEP)ROM, NV-RAM, Flash,... Speicher, Caches

Speicher Typen. TI-Übung 5. Speicher SRAM. Speicher DRAM. SRAM vs. DRAM (EEP)ROM, NV-RAM, Flash,... Speicher, Caches Speicher Typen TI-Übung 5 Speicher, Caches Andreas I. Schmied (andreas.schmied@uni-ulm.de) AspectIX-Team Abteilung Verteilte Systeme Universität Ulm WS2005 SRAM vs. DRAM (EEP)ROM, NV-RAM, Flash,... Charakteristik

Mehr

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1 9. SPEICHER UND CACHE (c) Peter Sturm, University of Trier 1 Inhalt Grundlagen Speichertypen RAM / ROM Dynamisches RAM Cache- Speicher Voll AssoziaNv n- Wege AssoziaNv Direct Mapping Beispiel: 8 Bit- Register

Mehr

Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I

Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I Aufgabe Punkte Aufgabe 1: / 35 Aufgabe 2: / 25 Aufgabe 3: / 15 Aufgabe 4: / 15 Aufgabe 5: / 35 Aufgabe 6: / 15 Aufgabe 7: / 20 Aufgabe 8:

Mehr

Automation und Prozessrechentechnik

Automation und Prozessrechentechnik Automation und Prozessrechentechnik Sommersemester 2 Prozessrechner, Mikroprozessor Aufgabe eines Prozessrechners Ein Prozessrechner ist ein (digitaler) Rechner, der einen technischen Prozess nach Vorgaben

Mehr

Ein- Ausgabeeinheiten

Ein- Ausgabeeinheiten Kapitel 5 - Ein- Ausgabeeinheiten Seite 121 Kapitel 5 Ein- Ausgabeeinheiten Am gemeinsamen Bus einer CPU hängt neben dem Hauptspeicher die Peripherie des Rechners: d. h. sein Massenspeicher und die Ein-

Mehr

Speicher (1) zur Realisierung eines Rechnerspeichers benötigt man eine Materie mit physikalischen Eigenschaften, die

Speicher (1) zur Realisierung eines Rechnerspeichers benötigt man eine Materie mit physikalischen Eigenschaften, die Speicher (1) Definition: Speichern ist die kurz- oder langfristige Änderung einer oder mehrerer physikalischer Eigenschaften einer Materie durch ein externes Ereignis. zur Realisierung eines Rechnerspeichers

Mehr

GRUNDLAGEN DER INFORMATIONSTECHNIK. Übungen TEIL 1 RECHNER

GRUNDLAGEN DER INFORMATIONSTECHNIK. Übungen TEIL 1 RECHNER GRUNDLAGEN DER INFORMATIONSTECHNIK Übungen TEIL 1 RECHNER Otto-von-Guericke-Universität Magdeburg Fakultät für Elektrotechnik und Informationstechnik Institut für Elektronik, Signalverarbeitung und Kommunikationstechnik

Mehr

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM RAMs (Random Access Memory) - Schreib-Lese-Speicher RAMs sind Speicher mit der Aufgabe, binäre Daten für eine bestimmte Zeit zu speichern. Diese

Mehr

B Hauptspeicher und Cache

B Hauptspeicher und Cache und Cache 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher 7. Cache 1 und Cache Einordnung in das Schichtenmodell:

Mehr

B Hauptspeicher und Cache

B Hauptspeicher und Cache und Cache und Cache Einordnung in das Schichtenmodell: 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher

Mehr

1,8V Flash and SRAM 28F3208W30

1,8V Flash and SRAM 28F3208W30 ,8V Flash and SRAM 28F328W3 Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 33,8V Flash and SRAM 28F328W3 BGA-Gehäuse Auf 7x9 mm Fläche 28MBit Flash und 8MBit SRAM Liers - PEG-Vorlesung

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Kapitel 16. Externer Bus

Kapitel 16. Externer Bus Kapitel 16 Externer Bus 13.08.12 K.Kraft D:\MCT_Vorlesung\Folien2013\ExtBus_16\ExtBus.odt 16-1 Externer Systembus Skript Bild 22, S. 27 : Prinzip eines Mikrocontrollersystems (80c537) mit externem Speicher

Mehr

E Hauptspeicher und Cache

E Hauptspeicher und Cache und Cache 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher 7. Cache 1 und Cache Einordnung in das Schichtenmodell:

Mehr

Der Chip Select - Decoder

Der Chip Select - Decoder Der Chip Select - Decoder Wie externe Peripherie-Einheiten (Chips) an den Atmega8515 angeschlossen werden können, in Verbindung mit dem Datenblatt des ATmega8515(L) ab Seite 25. 1. Alle externen Peripherie-Einheiten,

Mehr

Lösung 5. Mikroprozessor & Eingebettete Systeme 1

Lösung 5. Mikroprozessor & Eingebettete Systeme 1 Lösung 5 Mikroprozessor & Eingebettete Systeme 1 WS2015 / 2016 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tiefergehende Kenntnis zu vermitteln; sie sollen lediglich den Einstieg in

Mehr

Aufbau eines Taschenrechners

Aufbau eines Taschenrechners siehe Skizze Aufbau einer Waage siehe Skizze Speichermöglichkeit Aufbau eines Taschenrechners Speichermöglichkeit Adressbus 65536 (2 16 ) (2 wegen der Zustände =aus und 1=an) => 65536 Möglichkeiten =>

Mehr

Inhalt Teil Speicheraufbau und Speicherzugriff

Inhalt Teil Speicheraufbau und Speicherzugriff Inhalt Teil 2 6.1 Speicheraufbau und Speicherzugriff 1 6.1.1 Funktionsweise SRAM asynchron DRAM asynchron 6.1.2 Aufbau einer Speichereinheit RAM-Ansteuerung Memory Map Adressmaske DRAM-Speichermodule 6.1.3

Mehr

Organisatorisches. - zwei Übungsgruppen. - zweiwöchiger Rhythmus. - kleine Programmieraufgaben. - Textaufgaben direkt in der Übung

Organisatorisches. - zwei Übungsgruppen. - zweiwöchiger Rhythmus. - kleine Programmieraufgaben. - Textaufgaben direkt in der Übung Organisatorisches - zwei Übungsgruppen - zweiwöchiger Rhythmus - kleine Programmieraufgaben - Textaufgaben direkt in der Übung Vorführung Historische Computerteile: - Schaltkreistechnologien - gelochte

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Ergänzung: RAM und ROM. SS 2012 Grundlagen der Rechnerarchitektur Speicher 72

Ergänzung: RAM und ROM. SS 2012 Grundlagen der Rechnerarchitektur Speicher 72 Ergänzung: RAM und ROM SS 2012 Grundlagen der Rechnerarchitektur Speicher 72 Speichern eines Bits versus viele MB Wir wissen wie wir einzelne Bits speichern können (Erinnerung: Latches, Flip Flops) Mehrere

Mehr

Digitaltechnik. 6 Speicherelemente. Revision 1.4

Digitaltechnik. 6 Speicherelemente. Revision 1.4 Digitaltechnik 6 Speicherelemente A Revision 1.4 Übersicht Adressen Read-Only Memory ROM Random Access Memory RAM Datenbusse Caches Speicher Memory ROM: read-only memory RAM: random-access memory (besser

Mehr

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Themen heute Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Besprechung des 7. Übungsblattes Aufgabe 4a Der eigentliche Sprung erfolgt in der MEM-Phase (4. Pipeline-Stufe),

Mehr

é Er ist software-transparent, d.h. der Benutzer braucht nichts von seiner Existenz zu wissen. Adreßbus Cache- Control Datenbus

é Er ist software-transparent, d.h. der Benutzer braucht nichts von seiner Existenz zu wissen. Adreßbus Cache- Control Datenbus 4.2 Caches é Cache kommt aus dem Französischen: cacher (verstecken). é Er kann durch ein Anwendungsprogramm nicht explizit adressiert werden. é Er ist software-transparent, d.h. der Benutzer braucht nichts

Mehr

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs in Zukunft Richard Roth / FB Informatik und Mathematik Speicher 2 DRAM Speicherzelle (Trench Technology)

Mehr

Hauptspeicher H.1.1 Einordnung Organisation und Verhalten von Hauptspeichermodulen. Caches und assoziative Speicherung. Höhere Informatik :

Hauptspeicher H.1.1 Einordnung Organisation und Verhalten von Hauptspeichermodulen. Caches und assoziative Speicherung. Höhere Informatik : H. Hauptspeicher H.. Einordnung Organisation und Verhalten von Hauptspeichermodulen. Caches und assoziative Speicherung. Höhere Informatik : Hierarchische Datenspeicherung. - Programmierung, Datenbanken,

Mehr

Fachbereich Medienproduktion

Fachbereich Medienproduktion Fachbereich Medienproduktion Herzlich willkommen zur Vorlesung im Studienfach: Grundlagen der Informatik Themenübersicht Rechnertechnik und IT Sicherheit Grundlagen der Rechnertechnik Prozessorarchitekturen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Rechnerorganisation. 1. Juni 201 KC Posch

Rechnerorganisation. 1. Juni 201 KC Posch .6.2 Rechnerorganisation. Juni 2 KC Posch .6.2 2 .6.2 Front Side Bus Accelerated Graphics Port 28 MHz Front Side Bus North Bridge RAM idge South Bri IDE USB PCI Bus 3 .6.2 Front Side Bus Front Side Bus

Mehr

Kopplung Interaktion. TI-Übung 6. Kopplung Datenübergabe. RS232 Datenfluss (1) Teilnehmer. Ein-/Ausgabe. Interaktionsarten

Kopplung Interaktion. TI-Übung 6. Kopplung Datenübergabe. RS232 Datenfluss (1) Teilnehmer. Ein-/Ausgabe. Interaktionsarten Kopplung Interaktion TI-Übung 6 Ein-/Ausgabe Andreas I. Schmied (andreas.schmied@uni-ulm.de) AspectIX-Team Abteilung Verteilte Systeme Universität Ulm WS2005 Teilnehmer Prozessor Coprozessor (Co-)Prozessor

Mehr

13.3 Datenpfade zur Befehlsdurchführung

13.3 Datenpfade zur Befehlsdurchführung 13.3 atenpfade zur Befehlsdurchführung Bernd Becker Technische nformatik Grober ufbau der E-T- /O CPU C Speicher BB - T 13.3/2 1 CPU, Memory und ihr Zusammenspiel! 3 Busse:! 32 Bit breiter atenbus = [31,0]!

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Vorwort 8. Kap. 1: Grundlagen 10

Vorwort 8. Kap. 1: Grundlagen 10 Inhaltsverzeichnis Vorwort 8 Kap. 1: Grundlagen 10 1.1 Analogie zwischen der Spieluhr und einem Prozessor 10 1.2 Unterschiede zwischen Mikroprozessor und Spieluhr 11 1.3 Die Programmierung eines Mikroprozessors

Mehr

Arithmetische und Logische Einheit (ALU)

Arithmetische und Logische Einheit (ALU) Arithmetische und Logische Einheit (ALU) Enthält Blöcke für logische und arithmetische Operationen. n Bit Worte werden mit n hintereinander geschalteten 1 Bit ALUs bearbeitet. Steuerleitungen bestimmen

Mehr

Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 8 und Präsenzaufgaben Übung 9

Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 8 und Präsenzaufgaben Übung 9 Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 8 und Präsenzaufgaben Übung 9 Dominik Schoenwetter Erlangen, 30. Juni 2014 Lehrstuhl für Informatik 3 (Rechnerarchitektur)

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 -

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikrocomputertechnik Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikroprozessor-Achritekturen Folie 2 Mikroprozessor-Achritekturen Klassifizierung anhand Wortbreite CPU-Architektur und Busleitungen

Mehr

Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 9 und Präsenzaufgaben Übung 10

Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 9 und Präsenzaufgaben Übung 10 Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 9 und Präsenzaufgaben Übung 10 Dominik Schoenwetter Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 11 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Halbleiterspeicher. Halbleiterspeicher

Halbleiterspeicher. Halbleiterspeicher Halbleiterspeicher Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin Halbleiterspeicher RAM Random Access Memory Schreib-Lese-Speicher SRAM statischer RAM DRAM dynamischer RAM Liers - PEG-Vorlesung

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 10 AM 01./02.07.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Wichtige Rechnerarchitekturen

Wichtige Rechnerarchitekturen Wichtige Rechnerarchitekturen Teil 5 INMOS Transputer, CSP/Occam 1 INMOS Transputer 1983 vorgestellt von der Firma INMOS (Bristol) (Entwicklung seit 1978) Der Name Transputer entstand als Kunstwort aus

Mehr

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse Kapitel 11 Rechnerarchitektur 11.1 Der von-neumann-rechner Wir haben uns bisher mehr auf die logischen Bausteine konzentriert. Wir geben jetzt ein Rechnermodell an, das der physikalischen Wirklichkeit

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur Prozessor Übersicht Datenpfad Control Pipelining Data Hazards Control Hazards Multiple Issue Grundlagen der Rechnerarchitektur Prozessor 2 Datenpfad einer einfachen MIPS

Mehr

ISA96 HD-Card. Technische Beschreibung

ISA96 HD-Card. Technische Beschreibung Computertechnik GmbH ISA96 HD-Card Technische Beschreibung Bestellnummern: Bitte der aktuellen Preisliste entnehmen. Ihr Ansprechpartner: 1999 by Janich & Klass Computertechnik GmbH, Wuppertal 02.03.99

Mehr

EEPROM Lesen/Schreiben über SPI-Bus

EEPROM Lesen/Schreiben über SPI-Bus EEPROM Lesen/Schreiben über SPI-Bus Experiment EEPROMtest 6 A.Schultze / DK4AQ 15.06.2013 Was ist ein EEPROM? EEPROM = Electrical Erasable Programmable Read Only Memory Ein EEPROM kann elektrisch geschrieben

Mehr

Der I²C-Bus. Vorstellung des Inter-Integrated Circuit -Bus. Aufbau und Funktionsweise. Beispiel PortExpander am Arduino

Der I²C-Bus. Vorstellung des Inter-Integrated Circuit -Bus. Aufbau und Funktionsweise. Beispiel PortExpander am Arduino Der I²C-Bus Vorstellung des Inter-Integrated Circuit -Bus Aufbau und Funktionsweise Beispiel PortExpander am Arduino Weitere Anwendungsmöglichkeiten Was ist der I²C-Bus entwickelt von Philips Anfang der

Mehr

Computer-Systeme. Teil 3: Das Boxmodell von Variablen

Computer-Systeme. Teil 3: Das Boxmodell von Variablen Computer-Systeme Teil 3: Das Boxmodell von Variablen Computer-Systeme WS 12/13 - Teil 3/Boxmodell 26.10.2012 1 Literatur [3-1] [3-2] [3-3] [3-4] [3-5] Engelmann, Lutz (Hrsg.): Abitur Informatik Basiswissen

Mehr

Der Anschluss eines seriellen 16kb EEProms an die I²C Schnittstelle ist denkbar einfach: Hier als Beispiel mit einem ST24C16:

Der Anschluss eines seriellen 16kb EEProms an die I²C Schnittstelle ist denkbar einfach: Hier als Beispiel mit einem ST24C16: 12.0 Ein serielles EEProm an der I²C Schnittstelle Eine serielles EEProm wird häufig zur Speicherung von Systemkonfigurationen verwendet und könnte z.b. die optimalen Betriebsfrequenzen des Elliptecmotors

Mehr

Grundlagen der Informatik III Wintersemester 2010/2011

Grundlagen der Informatik III Wintersemester 2010/2011 Grundlagen der Informatik III Wintersemester 2010/2011 Wolfgang Heenes, Patrik Schmittat 6. Aufgabenblatt mit Lösungsvorschlag 06.12.2010 Hinweis: Der Schnelltest und die Aufgaben sollen in den Übungsgruppen

Mehr

Modul 304: Personalcomputer in Betrieb nehmen Thema: Speicher. Speicher / Memory V 1.0. Technische Berufsschule Zürich IT Seite 1

Modul 304: Personalcomputer in Betrieb nehmen Thema: Speicher. Speicher / Memory V 1.0. Technische Berufsschule Zürich IT Seite 1 Speicher / Memory V 1.0 Technische Berufsschule Zürich IT Seite 1 Einleitung: Der Speicher (engl. Memory) ist eine Kernfunktion in einem Rechner. Programme und Daten werden in Speichern abgelegt. Man spricht

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

RO-Tutorien 15 und 16

RO-Tutorien 15 und 16 Tutorien zur Vorlesung Rechnerorganisation Tutorienwoche 10 am 29.06.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Besprechung des 9. Übungsblattes Virtuelle Speicherverwaltung Aufgaben

Besprechung des 9. Übungsblattes Virtuelle Speicherverwaltung Aufgaben Themen heute Besprechung des 9. Übungsblattes Virtuelle Speicherverwaltung Aufgaben Besprechung des 9. Übungsblattes Aufgabe 2 Ist in einer Aufgabe wie hier keine explizite Wortbreite angegeben, nicht

Mehr

STM32 - Schieberegister, SPI - u

STM32 - Schieberegister, SPI - u STM32 - Schieberegister, u23 2013 andy, florob, gordin, ike, meise, tobix, zakx e.v. http://koeln.ccc.de Cologne 2013-11-04 STM32 - Schieberegister, - u23 2013 1 Schieberegister Schieberegister Codebeispiel

Mehr

E Mikrocontroller-Programmierung

E Mikrocontroller-Programmierung E Mikrocontroller-Programmierung E Mikrocontroller-Programmierung E.1 Überblick Mikrocontroller-Umgebung Prozessor am Beispiel AVR-Mikrocontroller Speicher Peripherie Programmausführung Programm laden

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Die Branch Instruktion beq Grundlagen der Rechnerarchitektur Prozessor 13 Betrachten nun Branch Instruktion beq Erinnerung, Branch Instruktionen beq ist vom I Typ Format:

Mehr

AVR-Mikrocontroller in BASCOM programmieren

AVR-Mikrocontroller in BASCOM programmieren jean-claude.feltes@education.lu 1 AVR-Mikrocontroller in BASCOM programmieren Der IC-Bus (TWI-Interface) Allgemeines Spezifikationen des IC-Busses: http://www.nxp.com/acrobat_download/literature/9398/39311.pdf

Mehr

Der 80535-Übungsrechner

Der 80535-Übungsrechner 6. Für den Test kleinerer Programmodule ohne Berücksichtigung des Echtzeitverhaltens ist der Simulator das geeignete Werkzeug. Durch die Möglichkeit der Einzelschrittausführung sind erste Tests von Programmen

Mehr

Enterprise Computing Einführung in das Betriebssystem z/os. Prof. Dr. Martin Bogdan Prof. Dr.-Ing. Wilhelm G. Spruth WS2012/13

Enterprise Computing Einführung in das Betriebssystem z/os. Prof. Dr. Martin Bogdan Prof. Dr.-Ing. Wilhelm G. Spruth WS2012/13 UNIVERSITÄT LEIPZIG Enterprise Computing Einführung in das Betriebssystem z/os Prof. Dr. Martin Bogdan Prof. Dr.-Ing. Wilhelm G. Spruth WS2012/13 Verarbeitungsgrundlagen Teil 2 Virtual Storage el0100 copyright

Mehr

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Prog. Counter Memory Adress Register Befehl holen Incrementer Main store Instruction register Op-code Address Memory Buffer Register CU Clock Control

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 6 und Präsenzaufgaben Übung 7

Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 6 und Präsenzaufgaben Übung 7 Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 6 und Präsenzaufgaben Übung 7 Dominik Schoenwetter Erlangen, 16. Juni 2014 Lehrstuhl für Informatik 3 (Rechnerarchitektur)

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Neues vom STRIP Forth-Prozessor

Neues vom STRIP Forth-Prozessor Neues vom STRIP Forth-Prozessor Tagung der Forth-Gesellschaft März 2014 Bad Vöslau/Österreich Willi Stricker -Prozessor Inhalt 1. STRIP-Befehlssatz Bisher: minimaler Befehlssatz neu: erweiterter Befehlssatz

Mehr

1. Basiskomponenten eines Rechners 1.3 Befehlssatzarchitekturen (1) Mehr Flexibilität beim Datenzugriff. Vier Klassen von Befehlssatz-Architekturen

1. Basiskomponenten eines Rechners 1.3 Befehlssatzarchitekturen (1) Mehr Flexibilität beim Datenzugriff. Vier Klassen von Befehlssatz-Architekturen 1.3 Befehlssatzarchitekturen (1) Bisher: weitgehende feste Zuordnung der Daten zu Registern Zu inflexibel Mehr Flexibilität beim Datenzugriff Vier Klassen von Befehlssatz-Architekturen 73 1.3 Befehlssatzarchitekturen

Mehr

Leichtgewichtsprozesse

Leichtgewichtsprozesse Leichtgewichtsprozesse häufiger Prozeßwechsel stellt in einem Betriebssystem eine hohe Belastung dar; auch erfordert die Generierung eines neuen Prozesses viele System-Resourcen in vielen Anwendungen werden

Mehr

Frei programmierbare 4-8 Digit / Bargraph LED-Anzeige für µcontrolleranwendungen

Frei programmierbare 4-8 Digit / Bargraph LED-Anzeige für µcontrolleranwendungen Frei programmierbare 4-8 Digit / Bargraph LED-Anzeige für µcontrolleranwendungen Rainer Rick Tholeyer Straße 28 66606 St. Wendel Deutschland Email: IB_Rick@yahoo.de Inhalt Einleitung 2 Datenformat 2 Erklärung

Mehr

8. SPS Komponenten: Beschreibung der Hardware-Komponenten einer SPS samt deren Eigenschaften

8. SPS Komponenten: Beschreibung der Hardware-Komponenten einer SPS samt deren Eigenschaften 8. SPS Komponenten: Beschreibung der Hardware-Komponenten einer SPS samt deren Eigenschaften Automatisierungsgerät: Zentralbaugruppe mit Prozessor Kommunikationsbaugruppe (Feldbusanschaltung) Bussysteme

Mehr

Quiz. Gegeben sei ein 16KB Cache mit 32 Byte Blockgröße. Wie verteilen sich die Bits einer 32 Bit Adresse auf: Tag Index Byte Offset.

Quiz. Gegeben sei ein 16KB Cache mit 32 Byte Blockgröße. Wie verteilen sich die Bits einer 32 Bit Adresse auf: Tag Index Byte Offset. Quiz Gegeben sei ein 16KB Cache mit 32 Byte Blockgröße. Wie verteilen sich die Bits einer 32 Bit Adresse auf: Tag Index Byte Offset 32 Bit Adresse 31 3 29... 2 1 SS 212 Grundlagen der Rechnerarchitektur

Mehr

Die Arithmetisch-Logische Einheit ALU 74181

Die Arithmetisch-Logische Einheit ALU 74181 Die Arithmetisch-Logische Einheit ALU 74181 Blockschaltbild: IC 74181 Pin-Ansicht S0 S1 S2 S3 M C n P G C n + 4 A=B B0 A0 S3 S2 1 2 3 4 24 23 22 21 +5V A1 B1 A2 A0 B0 A1 B1 A2 B2 A3 B3 F0 F1 F2 F3 S1 S0

Mehr

Klausur zur Mikroprozessortechnik

Klausur zur Mikroprozessortechnik Prof. Dr. K. Wüst WS 2001 FH Gießen Friedberg, FB MNI Studiengang Informatik Klausur zur Mikroprozessortechnik Nachname: Vorname: Matrikelnummer: 7.3.2001 Punkteverteilung Aufgabe Punkte erreicht 1 3 2

Mehr

Das Motherboard (Hauptplatine) beinhaltet Prozessor (CPU), Speicher (RAM) Anschlüsse für interne Disks, Steckkarten, Anschlüsse nach aussen

Das Motherboard (Hauptplatine) beinhaltet Prozessor (CPU), Speicher (RAM) Anschlüsse für interne Disks, Steckkarten, Anschlüsse nach aussen Das Motherboard (Hauptplatine) beinhaltet Prozessor (CPU), Speicher (RAM) Anschlüsse für interne Disks, Steckkarten, Anschlüsse nach aussen Damit man grosse Zahlen abkürzen kann, behilft man sich dieser

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

einfache DRAMs sind heute nicht mehr erhältlich, sondern nur noch die schnelleren DRAM-Varianten...

einfache DRAMs sind heute nicht mehr erhältlich, sondern nur noch die schnelleren DRAM-Varianten... 3 DRAM (10) Vor-/Nachteile von DRAM-Bausteinen: periodischer Refresh erforderlich hohe Zugriffszeit von ca. 60 ns für das erste Datenwort, dank FPM kürzere Zugriffszeit von ca. 30 ns für folgende Datenworte

Mehr

2. Ansatzpunkt: Reduktion der Penalty Early Restart und critical word first

2. Ansatzpunkt: Reduktion der Penalty Early Restart und critical word first 2. Ansatzpunkt: Reduktion der Penalty 2.1. Early Restart und critical word first Beide Techniken basieren darauf, die Wartezeit der CPU auf das Mindestmaß zu beschränken. Early restart lädt den Block wie

Mehr

Prinzipieller Aufbau und Funktionsweise eines Prozessors

Prinzipieller Aufbau und Funktionsweise eines Prozessors Prinzipieller Aufbau und Funktionsweise eines Prozessors [Technische Informatik Eine Einführung] Univ.- Lehrstuhl für Technische Informatik Institut für Informatik Martin-Luther-Universität Halle-Wittenberg

Mehr

Grob-Struktur des Prozessor-Speichersystems

Grob-Struktur des Prozessor-Speichersystems 2.3.2 Speicherstruktur (1) Grob-Struktur des Prozessor-Speichersystems Chipsatz (Erklärung s. später, Folie 104) 22.4.-27.5.2013, Folie 52 2.3.2 Speicherstruktur (2) Zugriff Prozessor zumeist auf schnelle

Mehr

Der I²C-Bus. Bearbeitet von: Thomas Finke, EL5

Der I²C-Bus. Bearbeitet von: Thomas Finke, EL5 Der I²C-Bus Bearbeitet von: Thomas Finke, EL5 thomas.finke@gmx.de Inhaltsverzeichnis 1. Entstehungsgeschichte des I²C-Bus...3 2. Technik der I²C-Bus...3 2.1 Hardware-Struktur...3 2.2 Das I²C-Protokoll...4

Mehr

I2C-BUS Von Ramesh Sathiyamoorthy Klasse E4p Embedded Control Hr.Felser HTI Burgdorf

I2C-BUS Von Ramesh Sathiyamoorthy Klasse E4p Embedded Control Hr.Felser HTI Burgdorf I2C-BUS Von Ramesh Sathiyamoorthy Klasse E4p Embedded Control Hr.Felser HTI Burgdorf Inhaltverzeichnis Inhaltverzeichnis... 2 1.History... 3 2.Einsatzbereich... 4 3.Funktionweise... 5 3.1 Das I2C-Busprotokoll...

Mehr

Aufgabe 4 : Virtueller Speicher

Aufgabe 4 : Virtueller Speicher Sommer 216 Technische Informatik I Lösungsvorschlag Seite 16 Aufgabe 4 : Virtueller Speicher (maximal 27 Punkte) 4.1: Generelle Funktionsweise (maximal 5 Punkte) (a) (1 Punkt) Nennen Sie zwei Gründe, weshalb

Mehr

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565 Stand 10.2.2009 Displayabmessungen -6X9: 56x43mm (Abbildung) -6X8: 34x25mm TECHNISCHE DATEN * INKL. KONTROLLER ST7565 * VDD = 2,7... 3,3V * LOW POWER, ALSO IDEAL FÜR

Mehr

Speicherhierarchie. [Technische Informatik Eine Einführung] Univ.-Prof. Dr. Paul Molitor

Speicherhierarchie. [Technische Informatik Eine Einführung] Univ.-Prof. Dr. Paul Molitor [Technische Informatik Eine Einführung] Univ.-Prof. Dr. Paul Molitor Lehrstuhl für Technische Informatik Institut für Informatik Martin-Luther-Universität Halle-Wittenberg Januar 2006 1 / 100 Inhalt dieser

Mehr

Theorie der Programmiersprachen

Theorie der Programmiersprachen slide 1 Vorlesung Theorie der Programmiersprachen Prof. Dr. Ulrich Ultes-Nitsche Forschungsgruppe Departement für Informatik Universität Freiburg slide 2 Heute Komponenten eines Computers Speicher Die

Mehr

Proseminar Konzepte von Betriebssystem- Komponenten (KVBK) Vortrag zum Thema: Speicheraddressierung, Segmentierung, Paging

Proseminar Konzepte von Betriebssystem- Komponenten (KVBK) Vortrag zum Thema: Speicheraddressierung, Segmentierung, Paging Proseminar Konzepte von Betriebssystem- Komponenten (KVBK) Vortrag zum Thema: Speicheraddressierung, Segmentierung, Paging Grundlegende Bedeutung von Speicheradressierung: Wie sind die Daten auf Dem Speicher

Mehr

Technische Informatik 2 Speichersysteme, Teil 3

Technische Informatik 2 Speichersysteme, Teil 3 Technische Informatik 2 Speichersysteme, Teil 3 Prof. Dr. Miroslaw Malek Sommersemester 2004 www.informatik.hu-berlin.de/rok/ca Thema heute Virtueller Speicher (Fortsetzung) Translation Lookaside Buffer

Mehr

Geräteentwurf mit Mikroprozessoren 1

Geräteentwurf mit Mikroprozessoren 1 Geräteentwurf mit Mikroprozessoren 1 Vorlesung am Institut für Elektronik der TU Graz Dipl.-Ing. Dr. Gerhard Stöckler SS 2003 Vorausgesetzte Kenntnisse: Grundlagen der Digitaltechnik Binäre Informationsdarstellung

Mehr

Inhalt Teil 8 (PCI-Bus) aus 5. Busse und Systemstrukturen

Inhalt Teil 8 (PCI-Bus) aus 5. Busse und Systemstrukturen Inhalt Teil 8 (PCI-Bus) aus 5. Busse und Systemstrukturen 1 5.6 Der PCI-Local-Bus 5.6 Der PCI-Local-Bus (Peripheral Component Interconnect Bus) 2 Bridge Prozessor Cache Speicher Memory-Controller PCI-Bus-Controller

Mehr

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI)

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI) Serielle Kommunikation mit dem Arduino Teil 1: Das Serial Peripheral Interface (SPI) Axel Attraktor e.v. 4. Juni 2012 Axel (Attraktor e.v.) 5. Arduino-Stammtisch 4. Juni 2012 1 / 25 Serielle Kommunikation

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Name : Klasse : Punkte : Note :

Name : Klasse : Punkte : Note : Name : Klasse : Punkte : Note : Zeit: 08.00 bis 09.30 Es dürfen alle Unterlagen verwendet werden. Die Aufgaben sind möglichst direkt auf den Blättern zu lösen (Antworten bitte in ganzen Sätzen!), bei Bedarf

Mehr

Hans-Georg Eßer, Hochschule München, Betriebssysteme I, SS Speicherverwaltung 1

Hans-Georg Eßer, Hochschule München, Betriebssysteme I, SS Speicherverwaltung 1 Sep 19 14:20:18 amd64 sshd[20494]: Accepted rsa for esser from ::ffff:87.234.201.207 port 61557 Sep 19 14:27:41 amd64 syslog-ng[7653]: STATS: dropped 0 Sep 20 01:00:01 amd64 /usr/sbin/cron[29278]: (root)

Mehr

Statischer Speicher - Schaltsymbol

Statischer Speicher - Schaltsymbol 12.3 SRAM Bernd Becker Technische Informatik II Statischer Speicher - Schaltsymbol SRAM A n D out W D in BB TI II 12.3/2 1 Ein N-Bit SRAM Sei n N, N = 2 n Ein N-Bit statischer Speicher oder SRAM (static

Mehr

1. Keil starten. Sollten Sie keinen leeren Bildschirm haben, löschen Sie einfach die

1. Keil starten. Sollten Sie keinen leeren Bildschirm haben, löschen Sie einfach die 1 Einführung Keil uvision Bevor Sie Keil uvision starten, erstellen Sie sich einen Ordner im Verzeichnis C:/Keil/ wo Sie Ihre Projekte später ablegen. In diesem Ordner kopieren Sie die Datei 0_template.a51.

Mehr

Embedded Systemarchitekturen und Echtzeitbetriebssysteme

Embedded Systemarchitekturen und Echtzeitbetriebssysteme Embedded Systemarchitekturen und Echtzeitbetriebssysteme Inhaltsverzeichnis 10. AUFBAUSTRUKTUR VON EMBEDDED SYSTEMEN - ÜBERBLICK... 3 10.1.1. Aufbau eines Embedded Systems... 3 11. KOMPONENTEN EINES EMBEDDED

Mehr