GRUNDLAGEN DER INFORMATIONSTECHNIK. Übungen TEIL 1 RECHNER

Größe: px
Ab Seite anzeigen:

Download "GRUNDLAGEN DER INFORMATIONSTECHNIK. Übungen TEIL 1 RECHNER"

Transkript

1 GRUNDLAGEN DER INFORMATIONSTECHNIK Übungen TEIL 1 RECHNER Otto-von-Guericke-Universität Magdeburg Fakultät für Elektrotechnik und Informationstechnik Institut für Elektronik, Signalverarbeitung und Kommunikationstechnik Lehrstuhl Technische Informatik

2 2

3 Inhaltsverzeichnis 0. Einführung 1. Grundlagen der digitalen Schaltungstechnik 4 2. Ein-/Ausgabebaugruppen 5 3. Speicherzugriff 6 4. Spezialprozessoren 7 3

4 0. Einführung Zahlensysteme, Binär-Hexadezimaldarstellung, 2er Komplement, Binäre Rechenoperationen, Kodierungen, Digitale Wörter (Nibble, Byte,...), große Zahlen (Kilo, Mega, Giga,...), Festkomma-/Gleitkomma 4

5 1. Grundlagen der digitalen Schaltungstechnik 0. Grundgatter und Flipflops a) Wie können Grundgatter zusammengeschaltet werden? b) Wie funktioniert die Speicherfunktion in Flipflops? 1. Master/Slave-Flipflop (MS-FF) a) Zeigen Sie die Flankensteuerung an einem MS-FF! a) Zeigen Sie die Funktion eines JK-MS-FFs anhand der Schaltbelegungstabelle! b) Zeigen Sie die Datenübernahme an einem D-FF anhand eines Zeitablaufplans! 2. Aufbau und Funktionsweise von Codewandlern a) Geben Sie für einen BCD-zu-7-Segment-Decoder die Schaltbelegungstabelle sowie eine Schaltungsrealisierung an. Handelt es sich um einen vollständigen oder unvollständigen Codewandler? b) Geben Sie für einen 1-aus-4-Decoder (Low-aktiv) die Schaltbelegungstabelle sowie eine Schaltungsrealisierung mit NAND-Gattern an. 3. Umschalter (Multiplexer) Entwerfen Sie als Sonderfall des Multiplexers einen einfachen Umschalter gemäß folgender Schaltbelegungstabelle: A Y 0 D0 1 D1 a) Stellen Sie die Gleichung Y = f(a; D0; D1) auf. b) Geben Sie dazu eine Schaltung an. 4. Zusammenschaltung von Multiplexern Geben Sie an, wie man einen 16-auf-1-Multiplexer aus 4-auf-1 Multiplexern realisieren kann. Welche Variante ergibt sich bei Tri-State-Fähigkeit? 5. Prinzip des Dualzählers Welche Flipflops sind als Binäruntersetzer geeignet? Zeichnen Sie Schaltbild und Impulsdiagramm für einen aus 3 Zähl-FF bestehenden asynchronen dualen a) Vorwärtszähler, b) Rückwärtszähler. 6. Parallel- und Serienregister a) Welche Arten von Registern unterscheidet man? Nennen Sie entsprechende Anwendungsbereiche. b) Entwerfen Sie ein dreistufiges Schieberegister mit seriellem Ein- und Ausgang! 5

6 2. Ein-/Ausgabebaugruppen Ein Rechner soll Analogwerte einlesen und ausgeben können. Dafür sind als Peripheriebaugruppen ein Analog-Digital-Umsetzer (ADU) sowie ein DAU (Digital- Analog-Umsetzer) vorgesehen und werden parallel angeschlossen. Außerdem soll eine serielle Schnittstelle die Kommunikation mit einer weiteren Peripheriebaugruppe ermöglichen. Skizzieren Sie die Zusammenschaltung der Bausteine mit den erforderlichen Daten-, Adress- und Steuerleitungen, ermitteln Sie die Steuerworte für die Bausteine und erläutern Sie die Funktion im Interrupt- bzw. Pollingbetrieb. 1. Paralleler Port ADU und DAU (jeweils 8 Bit) sollen über ein PPI (Programmable Peripheral Interface) 8255 mit einem Rechner verbunden werden. Das Zusammenwirken wird über Handshake- Signale gesteuert (Mode 1). Die Datenübernahme durch den Prozessor erfolgt innerhalb einer Interrupt-Routine. Als Interrupt-Controller wird der Baustein 8259 eingesetzt Ermitteln Sie die Steuerworte zur Initialisierung der PPI Wie werden die Steuerworte ausgegeben (benötigte Befehle: mov, out)? 1.2. Wie erfolgt die Datenübernahme per Interrupt? Wie lauten die Befehle für die Eingabe bzw. Ausgabe (benötigte Befehle: mov, push, pop, in, out, iret) in der Interrupt-Service-Routine? 1.3. Erläutern Sie den Zeitverlauf der Handshakesignale! 2. Serieller Port Als Schnittstelle zur Peripheriebaugruppe soll der Baustein 8251 USART (Universal Synchron Asynchron Receiver Transmitter) in der asynchronen Betriebsart verwendet und entsprechend programmiert werden Zeigen Sie, wie Sende- und Empfangstakt mit dem Timer-Baustein 8253 bereitgestellt werden können Ermitteln Sie die Steuerworte zur Programmierung des 8251, wenn die Übertragung mit 9600 Baud erfolgen soll, für das Datenformat acht Datenbits, ein Stopp- sowie ein gerades Paritätsbit vorgegeben sind. Durch welchen Wert muss der Systemtakt von 1,8432 MHz zur Erzeugung von Sende- und Empfangstakt in Abhängigkeit vom Baudratenfaktor geteilt werden? 2.3. Zeigen Sie den Zeitverlauf des Signals auf einer Datenleitung. Erläutern Sie die Arbeitsweise im Pollingbetrieb. Welche Statusbits werden im Pollingbetrieb abgefragt? Wie würde die Abfrageschleife in Assembler aussehen! 6

7 3. Speicher- und Portzugriffe 1. Adressierung und Chipauswahl 1.1. Für einen E/A-Baustein (Port), an den direkt zwei Adressleitungen (A0,A1) heranführen, soll das CS-Signal so generiert werden, dass er im E/A-Adressraum (Adressen ) durch Setzen von Jumpern platzierbar ist (Adressschritte von 4). Geben Sie eine geeignete Schaltung für einen Adressdekoder an, in der ein 8fach-UND-Gatter verwendet wird. Die erforderlichen Adressleitungen sind mit den Multiplexern wahlweise über Negatoren oder direkt auf die Eingänge des UND-Gatters zu schalten. Berücksichtigen Sie die wichtigsten Steuerleitungen und geben Sie die Adressbereiche an! 1.2. Ein Speicher (RAM) von 512 MByte (128 M Worte) soll innerhalb des Adressraums eines Rechners von 4 G (Byte-adressiert) in Schritten von 512 M platziert werden. Die Nummer des Speicherblocks (Segments) soll mit einem Register bereitgestellt werden. Geben Sie eine geeignete Schaltung für einen Adressdekoder an, der das Auswahlsignal des Speicherbausteins generiert. Realisieren Sie die Schaltung zum einen mit einem Digitalkomparator! Berücksichtigen Sie dabei die wichtigsten Steuerleitungen des Rechnerbusses (M/IO, R/W). Geben Sie die Adressbereiche der auswählbaren Speichersegmente an. 2. DMA 2.1. Welche Funktionen nimmt der DMA-Controller der CPU ab? Welche Fähigkeit muss die CPU besitzen, um einen DMA-Transfer zu ermöglichen? Nennen Sie Beispiele, bei denen DMA eine sinnvolle Form des Datentransfers darstellt! 2.2. Zeigen Sie, ausgehend von der Grundarchitektur eines Rechners, die für die Funktion wichtigsten Verbindungsleitungen eines DMA-Controllers mit der CPU sowie mit den Interfaces bzw. Peripheriegeräten. Erläutern Sie die ablaufenden Vorgänge, wenn das Peripheriegerät einen Datentransfer anfordert Zeigen Sie Möglichkeiten der Datenübertragung mit und ohne DMA-Controller sowie Unterschiede zwischen Blocktransfer und Handshake-Betrieb. 7

8 4. Spezialprozessoren und aktuelle Entwicklungen 1. Einchipcontroller Mit einem Z8-Einchipcontroller und einem Analog-Digital-Umsetzer soll ein Analogwert überwacht werden. Dafür ist folgende Struktur vorgesehen: Port 1 8 ADU Vorgegebene Struktur RDY1 /DAV1 Port und folgende Aufgaben sollen realisiert werden: - Anschluss eines 8-Bit-ADU an Port 1, Handshake-Betrieb mit Interrupt - Überwachung des ADU-Wertes auf Grenzwertüber- und -unterschreitung; 62<=Wert<=192 - Anzeige der Über- und Unterschreitung über Port 3 mit LED. Ermitteln Sie die erforderlichen Steuerworte zur Initialisierung! Wie wird die Funktion programmtechnisch umgesetzt? 2. Multiprozessorsysteme Verschaffen Sie sich einen Überblick über Funktionsweise und Möglichkeiten der Zusammenschaltung in Mehrprozessorsystemen! 8

Lehrveranstaltung. Hardwarenahe Rechnerarchitektur für CV (BIT) Übungen. Teil 1: Digitaltechnik

Lehrveranstaltung. Hardwarenahe Rechnerarchitektur für CV (BIT) Übungen. Teil 1: Digitaltechnik Lehrveranstaltung Hardwarenahe Rechnerarchitektur für CV (BIT) Übungen Teil 1: Digitaltechnik Otto-von-Guericke-Universität Magdeburg Fakultät Elektrotechnik Institut für Elektronik, Signalverarbeitung

Mehr

Kapitel 18. Externe Komponenten

Kapitel 18. Externe Komponenten Kapitel 18 Externe Komponenten 31.05.11 K.Kraft E:\MCT_Vorlesung\MCT2011\Externe_31\Externe.odt 18-1 Anschluss von externen Komponenten Einfachste Art : Direkt an einem Port Beispiel Ausgabe : 7-Strich

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I

Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I Aufgabe Punkte Aufgabe 1: / 35 Aufgabe 2: / 25 Aufgabe 3: / 15 Aufgabe 4: / 15 Aufgabe 5: / 35 Aufgabe 6: / 15 Aufgabe 7: / 20 Aufgabe 8:

Mehr

Inhaltsverzeichnis 1 Ein-Bit-Rechner Mikrorechentechnik-Grundlagen Das Mikrocontrollersystem ein Überblick am Beispiel MSP430F1232

Inhaltsverzeichnis 1 Ein-Bit-Rechner Mikrorechentechnik-Grundlagen Das Mikrocontrollersystem ein Überblick am Beispiel MSP430F1232 Inhaltsverzeichnis 1 Ein-Bit-Rechner... 15 1.1 Rechenwerk... 15 1.1.1 Register und Takt... 16 1.1.2 Zwischenspeicher... 17 1.1.3 Native und emulierte Datenmanipulationsbefehle... 18 1.2 Steuerwerk... 20

Mehr

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik.

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik. ÜBUNGSAUFGABENSAMMLUNG DIGITALTEHNIK 1 Aufgabe 1 Erklären Sie kurz die Begriffe Wrap-Around-Arithmetik und Sättigungsarithmetik. Berechnen Sie die Ergebnisse der folgenden Rechenoperationen gemäß Wrap-Around-Arithmetik.

Mehr

Synthese digitaler Schaltungen Aufgabensammlung

Synthese digitaler Schaltungen Aufgabensammlung Technische Universität Ilmenau Fakultät für Elektrotechnik und Informationstechnik Fachgebiet Elektronische Schaltungen und Systeme Dr. Ing. Steffen Arlt Synthese digitaler Schaltungen Aufgabensammlung.

Mehr

SMP Übung 2 1. Aufgabe

SMP Übung 2 1. Aufgabe SMP Übung 2 1. Aufgabe a) Kilo: K = 2 10 = 1.024 Mega: M = 2 20 = 1.048.576 Giga: G = 2 30 = 1.073.741.824 Tera: T = 2 40 = 1.099.511.627.776 b) Der Prozessor hat 30 Adressleitungen A[31..2], mit denen

Mehr

Lösung 5. Mikroprozessor & Eingebettete Systeme 1

Lösung 5. Mikroprozessor & Eingebettete Systeme 1 Lösung 5 Mikroprozessor & Eingebettete Systeme 1 WS2015 / 2016 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tiefergehende Kenntnis zu vermitteln; sie sollen lediglich den Einstieg in

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Mikrocontrollertechnik

Mikrocontrollertechnik Matthias Sturm Mikrocontrollertechnik Am Beispiel der MSP430-Familie mit 102 Bildern und 44 Tabellen Fachbuchverlag Leipzig im Carl Hanser Verlag Inhaltsverzeichnis 1 Ein-Bit-Rechner 15 1.1 Rechenwerk

Mehr

Ein- Ausgabeeinheiten

Ein- Ausgabeeinheiten Kapitel 5 - Ein- Ausgabeeinheiten Seite 121 Kapitel 5 Ein- Ausgabeeinheiten Am gemeinsamen Bus einer CPU hängt neben dem Hauptspeicher die Peripherie des Rechners: d. h. sein Massenspeicher und die Ein-

Mehr

Vorbemerkungen zum 5. Versuchstag:

Vorbemerkungen zum 5. Versuchstag: Vorbemerkungen zum 5. Versuchstag: Ziel des fünften Versuchstages ist es:! Die Verbindung von Mikroprozessoren mit peripheren Geräten kennen zu lernen;! den Umgang mit Peripherie-Bausteinen zu üben; Im

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO-VON-GUERICKE-UNIVERSITÄT MAGDEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Grundlagen der Informatik Studiengang: Bachelor (CV / CSE / IF / WIF) am: 19. Juli 2008 Bearbeitungszeit:

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Speicherregister/Latches (1) 1

Speicherregister/Latches (1) 1 Informationstechnik Digitaltechnik Speicherregister/Latches (1) 1 Typisch in der Mikroprozessortechnik ist, dass viele Signale gleichzeitig mit identischen Schaltungskomponenten verarbeitet werden. Beispiele:

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Ein-Bit-Rechner 15. Mikrorechentechnik-Grundlagen 29

Ein-Bit-Rechner 15. Mikrorechentechnik-Grundlagen 29 Ein-Bit-Rechner 15 1.1 Rechenwerk 15 1.1.1 Register und Takt 16 1.1.2 Zwischenspeicher 17 1.1.3 Native und emulierte Datenmanipulationsbefehle 18 1.2 Steuerwerk 20 1.2.1 Programmsteuerbefehle 21 1.2.2

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Intelligenter Modemadapter für den PC

Intelligenter Modemadapter für den PC Intelligenter Modemadapter für den PC Jürgen Hasch, DG1SCR, Meisenstr. 23, 73066 Uhingen Motivation Möchte man an einem PC mehrere Modems betreiben, so hat man die Wahl zwischen einer quasi-passiven Lösung

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10. Lehrprozessor: Coldfire MCF-5272 Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.45 Uhr Raum: Aula Bearbeitungszeit: 180 Minuten Erlaubte Hilfsmittel: Gedrucktes Vorlesungsskript von Prof. Neuschwander mit

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Praktikum Mikrorechner 9 (serielle Schnittstelle)

Praktikum Mikrorechner 9 (serielle Schnittstelle) Prof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 2014 1/13 Praktikum Mikrorechner 9 (serielle Schnittstelle) Prof. G. Kemnitz Institut für Informatik, Technische Universität

Mehr

V0~~ärts-RUckw~-Dezim~lef74190undCBit-Binllnatiler

V0~~ärts-RUckw~-Dezim~lef74190undCBit-Binllnatiler Inhalt Boolesche Algebra... 13 Mengenalgebra... 14 Festlegung und Darstellung von Mengen...15 Relationen zwischen Mengen...16 Gleichmächtige oder äquivalente Mengen... 17 Verknüpfungen von Mengen...19

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

E Mikrocontroller-Programmierung

E Mikrocontroller-Programmierung E Mikrocontroller-Programmierung E Mikrocontroller-Programmierung E.1 Überblick Mikrocontroller-Umgebung Prozessor am Beispiel AVR-Mikrocontroller Speicher Peripherie Programmausführung Programm laden

Mehr

Einführung in die Digitaltechnik

Einführung in die Digitaltechnik Einführung in die Digitaltechnik Von Professor Dr.-Ing. Heinz-Georg Fehn Fachhochschule Münster Mit 212 Bildern und 71 Tabellen J. Schlembach Fachverlag ULBDwmstadt Inhaltsverzeichnis 1 Einführung 1 1.1

Mehr

1,8V Flash and SRAM 28F3208W30

1,8V Flash and SRAM 28F3208W30 ,8V Flash and SRAM 28F328W3 Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 33,8V Flash and SRAM 28F328W3 BGA-Gehäuse Auf 7x9 mm Fläche 28MBit Flash und 8MBit SRAM Liers - PEG-Vorlesung

Mehr

Applikationen zur Mikrocontroller-Familie

Applikationen zur Mikrocontroller-Familie Applikationen zur 8051- Mikrocontroller-Familie Band 1 Otmar Feger Jürgen Ortmann Siemens Aktiengesellschaft Inhaltsverzeichnis 9 Inhaltsverzeichnis Vorwort zu MC-Tools 13 und MC-Tools 16 5 Inhaltsverzeichnis

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum. 3. Praktikumskomplex - Schaltungen mit digitalen Grundgattern

Aufgaben zum Elektronik - Grundlagenpraktikum. 3. Praktikumskomplex - Schaltungen mit digitalen Grundgattern UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 2000/2001 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 3. Praktikumskomplex - Schaltungen

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Vorwort 8. Kap. 1: Grundlagen 10

Vorwort 8. Kap. 1: Grundlagen 10 Inhaltsverzeichnis Vorwort 8 Kap. 1: Grundlagen 10 1.1 Analogie zwischen der Spieluhr und einem Prozessor 10 1.2 Unterschiede zwischen Mikroprozessor und Spieluhr 11 1.3 Die Programmierung eines Mikroprozessors

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Mikrorechner-Technik

Mikrorechner-Technik Springer-Lehrbuch Mikrorechner-Technik Band II Busse, Speicher, Peripherie und Mikrocontroller Bearbeitet von Helmut Bähring Neuausgabe 2002. Taschenbuch. xxvii, 425 S. Paperback ISBN 978 3 540 43693 5

Mehr

Einführung in die Elektrotechnik und Elektronik

Einführung in die Elektrotechnik und Elektronik Einführung in die Elektrotechnik und Elektronik Teil 2 Halbleiterbauelemente Verstärkerschaltungen Digitaltechnik Mikroprozessoren von DipL-Phys. Roderich Müller und Dr.-Ing. Anton Piotrowski Professoren

Mehr

Mikrocomputertechnik

Mikrocomputertechnik Mikrocomputertechnik Bernd-Dieter Schaaf Mit Mikrocontrollern der Familie 8051 ISBN 3-446-40017-6 Inhaltsverzeichnis Weitere Informationen oder Bestellungen unter http://www.hanser.de/3-446-40017-6 sowie

Mehr

MikroController der 8051-Familie

MikroController der 8051-Familie i Dipl.-Ing. Roland Dilsch MikroController der 8051-Familie Aufbau, Funktion, Einsatz Vogel Buchverlag Inhaltsverzeichnis Vorwort 5 1 Was ist ein MikrocontroUer? 13 1.1 Aufbau eines Computers 13 1.2 Entstehung

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

Inhaltsverzeichnis. Teil I Aufgaben 1

Inhaltsverzeichnis. Teil I Aufgaben 1 iii Teil I Aufgaben 1 1 Grundlagen der Elektrotechnik 3 Aufgabe 1: Punktladungen............................ 3 Aufgabe 2: Elektronenstrahlröhre........................ 3 Aufgabe 3: Kapazität eines Koaxialkabels...................

Mehr

Versuch: D2 Zähler und Ampelschaltung

Versuch: D2 Zähler und Ampelschaltung Versuch: D2 Zähler und Ampelschaltung Ziel dieses Versuches: asynchrone und synchrone Zähler entwerfen, aufbauen und untersuchen, damit eine Ampelschaltung betreiben Inhalte: asynchroner 4 Bit-Zähler,

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

EINGEBETTETE SYSTEME Vorlesungen WS2005

EINGEBETTETE SYSTEME Vorlesungen WS2005 EINGEBETTETE SYSTEME Vorlesungen WS2005 1 Mikrocontroller- Komponenten 2 Entwurf eingebetteter Systeme 3 Mikrocontroller-Komponenten Prozessorkern: prinzipiell kein Unterschied zum Kern eines Mikroprozessors

Mehr

Einleitung Schnittstelleneinführung Grundlagen der Datenübertragung 19

Einleitung Schnittstelleneinführung Grundlagen der Datenübertragung 19 Einleitung 13 1. Schnittstelleneinführung 15 2. Grundlagen der Datenübertragung 19 2.1 Betriebsarten der Datenübertragung 20 2.1.1 Simplex-Betrieb 20 2.1.2 Haib-Duplex-Betrieb 21 2.1.3 Vol1-Duplex-Betrieb

Mehr

Institut für Informatik. Aufgaben zum Elektronik Grundlagenpraktikum. 3.Praktikumskomplex Schaltungen mit digitalen Grundgattern

Institut für Informatik. Aufgaben zum Elektronik Grundlagenpraktikum. 3.Praktikumskomplex Schaltungen mit digitalen Grundgattern UNIVERSITÄT LEIPZIG Institut für Informatik Abt. Technische Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-034-97 3223 Zimmer: Jo 04-47 e-mail:

Mehr

Mikrocomputertechnik

Mikrocomputertechnik Mikrocomputertechnik Bernd-Dieter Schaaf Mit Mikrocontrollern der Familie 8051 ISBN 3-446-40017-6 Leseprobe Weitere Informationen oder Bestellungen unter http://www.hanser.de/3-446-40017-6 sowie im Buchhandel

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega Einleitung... 11 Die Pins alphabetisch.... 12 Kapitel 1 Programmierung des ATmega8 und des ATmega328.... 15 1.1 Was Sie auf den nächsten Seiten erwartet... 19 1.2 Was ist eine Micro Controller Unit (MCU)?....

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Mikrocontroller-Busse

Mikrocontroller-Busse Mikrocontroller-Busse Projekt Elektronik Mohammed Goni Projekt: Terarriensteuerung WsSe 09 1 Einleitung 2 Microcontroller (MCU) 3 Bussysteme Mohammed Goni (Projekt: Terarriensteuerung) Elektronik WiSe

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Helmut Bähring. Mikrorechner Technik. Übungen und Lösungen. Mit 78 Abbildungen und CD-ROM. Springer

Helmut Bähring. Mikrorechner Technik. Übungen und Lösungen. Mit 78 Abbildungen und CD-ROM. Springer Helmut Bähring Mikrorechner Technik Übungen und Lösungen Mit 78 Abbildungen und CD-ROM Springer Inhaltsverzeichnis Vorwort : VII I. Übungen zu Band 1 1 1.1 Grundlagen 1 Aufgabe 1: Zu den Maßeinheiten Kilo,

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Inhalt. Einleitung... 11

Inhalt. Einleitung... 11 Inhalt Einleitung............................... 11 1 Kurze Einführung in die Grundlagen der digitalen Elektronik........................ 12 1.1 Was versteht man unter analog und was unter digital?....

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik. Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle.

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik. Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle. Table of Contents Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik 1 2 2 3 Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle.de UniTrain UniTrain - das multimediale E-learning

Mehr

STM32 - Schieberegister, SPI - u

STM32 - Schieberegister, SPI - u STM32 - Schieberegister, u23 2013 andy, florob, gordin, ike, meise, tobix, zakx e.v. http://koeln.ccc.de Cologne 2013-11-04 STM32 - Schieberegister, - u23 2013 1 Schieberegister Schieberegister Codebeispiel

Mehr

Frei programmierbare 4-8 Digit / Bargraph LED-Anzeige für µcontrolleranwendungen

Frei programmierbare 4-8 Digit / Bargraph LED-Anzeige für µcontrolleranwendungen Frei programmierbare 4-8 Digit / Bargraph LED-Anzeige für µcontrolleranwendungen Rainer Rick Tholeyer Straße 28 66606 St. Wendel Deutschland Email: IB_Rick@yahoo.de Inhalt Einleitung 2 Datenformat 2 Erklärung

Mehr

Vorbemerkung. [disclaimer]

Vorbemerkung. [disclaimer] Vorbemerkung Dies ist ein abgegebenes Praktikumsprotokoll aus dem Modul physik313. Dieses Praktikumsprotokoll wurde nicht bewertet. Es handelt sich lediglich um meine Abgabe und keine Musterlösung. Alle

Mehr

Unified-E Modbus Adapter

Unified-E Modbus Adapter Unified-E Modbus Adapter Betrifft: Version 1.5.0.0 und höher Stand: Februar 2017 Inhalt 1 Allgemeines... 2 2 Adapter-Parameter in Unified-E... 2 3 Adressierung von Datenpunkten... 4 Unified-E Modbus Adapter

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Datentechnik. Prinzipieller Aufbau eines Schnittstellenbausteins

Datentechnik. Prinzipieller Aufbau eines Schnittstellenbausteins Prinzipieller Aufbau eines Schnittstellenbausteins DB /CS A0-Ai R/W Reset Takt Int IntAck zum µp Datenbus Puffer Steuerung Interruptsteuerung & IF Statusregister IE Steuerregister Befehlsregister Datenregister

Mehr

Hochintegrierte Digitalschaltungen und Mikroprozessoren

Hochintegrierte Digitalschaltungen und Mikroprozessoren Herbert Bernstein Hochintegrierte Digitalschaltungen und Mikroprozessoren mit 442 Abbildungen und 215 Tabellen V Technische Hochschule Darmstadt FACHBEREICH INFORMATIK B I B L I O T H E K Inventar-Nr.:

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

Microcomputertechnik

Microcomputertechnik Microcomputertechnik mit Mikrocontrollern der Familie 8051 Bearbeitet von Bernd-Dieter Schaaf 2. Auflage 2002. Buch. 230 S. Hardcover ISBN 978 3 446 22089 8 Format (B x L): 16 x 22,7 cm Gewicht: 407 g

Mehr

HD44780-LC-Display am Atmel-AVR Mikrocontroller

HD44780-LC-Display am Atmel-AVR Mikrocontroller Fachbereich Elektrotechnik und Informatik Labor für Angewandte Informatik und Datenbanken Praktikum Automatisierung / Echtzeitregelung (BAU/BER) Prof.Dr.-Ing. Coersmeier HD44780-LC-Display am Atmel-AVR

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Elektrische Logiksysteme mit Rückführung

Elektrische Logiksysteme mit Rückführung Elektrische Logiksysteme mit Rückführung Christoph Mahnke 22.06.2006 1 Trigger 1.1 RS-Trigger Ein RS-Trigger oder Flip-Flop ist ein elektronisches Bauelement, welches 2 stabile Zustände einnehmen und diese

Mehr

Inhaltsverzeichnis. 1 Einleitung 1

Inhaltsverzeichnis. 1 Einleitung 1 vn 1 Einleitung 1 2 Codierung und Zahlensysteme... 3 2.1 Codes... 3 2.2 Dualcode....4 2.3 Festkonnna-Arithmetik im Dualsystem... 5 2.3.1 Ganzzahlige Addition im Dualsystem... 5 2.3.2 Addition von Festkommazahlen...

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Inhaltsverzeichnis VII

Inhaltsverzeichnis VII Inhaltsverzeichnis 1 Grundlagen der Mikrocontroller... 1 1.1 Mikrocontroller-Familie ATtiny2313, ATtiny26 und ATmega32.... 6 1.1.1 Merkmale des ATtiny2313, ATtiny26 und ATmega32..... 8 1.1.2 Pinbelegung

Mehr

ATmega169 Chip: Pin-Layout

ATmega169 Chip: Pin-Layout ATmega169 Chip: Pin-Layout Die logische Schnittstelle der Funktionseinheit MCU (Microcontroller Unit) entspricht der physikalischen Schnittstelle der Baueinheit (Chip). Für die Maschinenbefehle sind nur

Mehr

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Mikrocomputertechnik

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Mikrocomputertechnik Table of Contents Table of Contents UniTrain Mikrocomputertechnik 1 2 2 3 Lucas Nülle GmbH Seite 1/5 https://www.lucas-nuelle.de UniTrain UniTrain - das multimediale E-learning System mit integriertem,

Mehr

Eingebettete Systeme

Eingebettete Systeme Institut für Informatik Lehrstuhl für Eingebettete Systeme Prof. Dr. Uwe Brinkschulte Benjamin Betting 1. Aufgabe (DMA) Eingebettete Systeme 4. Übungsblatt Lösungsvorschlag a) Eigenschaften für die Datenübertragung

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): ufgabe 1, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b) Ergänzen Sie für Ihre Schaltung in

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse Kapitel 11 Rechnerarchitektur 11.1 Der von-neumann-rechner Wir haben uns bisher mehr auf die logischen Bausteine konzentriert. Wir geben jetzt ein Rechnermodell an, das der physikalischen Wirklichkeit

Mehr

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4.

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4. UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-oachim Lieske Tel.: [49]-0341-97 32213

Mehr

Kombinatorische Schaltungen

Kombinatorische Schaltungen Mathias Arbeiter 16. Juni 2006 Betreuer: Herr Bojarski Kombinatorische Schaltungen Elektrische Logigsysteme ohne Rückführung Inhaltsverzeichnis 1 Wirkungsweise von NAND-Gattern 3 2 logische Schaltungen

Mehr

TU ILMENAU Fak. IA - FG Neuroinformatik & Kognitive Robotik. Vorkurs Informatik September Kurs: I 1. Dr. Klaus Debes.

TU ILMENAU Fak. IA - FG Neuroinformatik & Kognitive Robotik. Vorkurs Informatik September Kurs: I 1. Dr. Klaus Debes. Vorkurs Informatik September 2016 Kurs: I 1 Dr. Klaus Debes klaus.debes@tu-ilmenau.de Tel. 03677-69 27 70, 69 28 58 http://www.tu-ilmenau.de/neurob Teaching Wintersemester Vorkurs Informatik Übersicht

Mehr

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 Aufgabe 1 An einem Schaltkreis, der ein Register enthält, messen Sie die in Abb. 1 gezeigte Signalfolge. Handelt es sich dabei um ein D-Flipflop- oder um ein

Mehr

Ein und Ausgabe. von Neumann Konzept enthält folgende Komponenten: Rechenwerk Steuerwerk Speicher Eingabewerk Ausgabewerk (siehe 1.

Ein und Ausgabe. von Neumann Konzept enthält folgende Komponenten: Rechenwerk Steuerwerk Speicher Eingabewerk Ausgabewerk (siehe 1. Ein und Ausgabe von Neumann Konzept enthält folgende Komponenten: Rechenwerk Steuerwerk Speicher Eingabewerk Ausgabewerk (siehe 1. Vorlesung) v. Neumann Architektur Eingabewerk Speicher Ausgabewerk Rechenwerk

Mehr

Übungen zu Grundlagen der Rechnerarchitektur und -organisation (ÜGRa)

Übungen zu Grundlagen der Rechnerarchitektur und -organisation (ÜGRa) Übungen zu Grundlagen der Rechnerarchitektur und -organisation (ÜGRa) Aufgabenblatt 07 Ausgabe: 2016-06-13 Abgabe: 2016-06-27 Aufgabe 1: Die MIPS-Architektur ist eine typische Register-Register-Architektur

Mehr

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 -

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikrocomputertechnik Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikroprozessor-Achritekturen Folie 2 Mikroprozessor-Achritekturen Klassifizierung anhand Wortbreite CPU-Architektur und Busleitungen

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 13. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung Register Multiplexer Demultiplexer Halbleiterspeicher Statisches

Mehr

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel Prozessorarchitektur Kapitel - Wiederholung M. Schölzel Wiederholung Kombinatorische Logik: Ausgaben hängen funktional von den Eingaben ab. x x 2 x 3 z z = f (x,,x n ) z 2 z m = f m (x,,x n ) Sequentielle

Mehr

Mikrocontroller. eine Einführung. Florian Schmitt - 16.11.2010 1 / 34

Mikrocontroller. eine Einführung. Florian Schmitt - 16.11.2010 1 / 34 Mikrocontroller eine Einführung Florian Schmitt - 16.11.2010 1 / 34 Inhalt Was sind Mikrocontroller, wozu sind sie nützlich? Unterschiede und Gemeinsamkeiten mit dem PC Wie funktionieren Mikrocontroller

Mehr

Anwendungen der Prozessdatenverarbeitung (Liste V) Leitung: Prof. Dr. Linn. Microcontroller Programmierung

Anwendungen der Prozessdatenverarbeitung (Liste V) Leitung: Prof. Dr. Linn. Microcontroller Programmierung Anwendungen der Prozessdatenverarbeitung (Liste V) Microcontroller Programmierung Technisches Handbuch Christoph Schulz Patrik Simon Dirk Stein Sommersemester 2005 INHALTSVERZEICHNIS Einführung - 2 - Pinbelegung

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Elektronische Grundlagen (Praktikum)

Elektronische Grundlagen (Praktikum) Elektronische Grundlagen (Praktikum) Protokoll: Versuch E13 - Schnittstellen und ihre Programmierung Praktikumsgruppe: IF 104/3 Tobias Blaschke 172467 Christian Darius 167971 Thomas Thüm 171046 Frederik

Mehr

Aufgabe 2 - Erweiterung um PIC und Interrupts

Aufgabe 2 - Erweiterung um PIC und Interrupts Aufgabe 2 - Erweiterung um PIC und Interrupts Dr.-Ing. Volkmar Sieh Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS2010/2011 Aufgabe 2 - Erweiterung um

Mehr

Stichwortverzeichnis. Matthias Sturm. Mikrocontrollertechnik. Am Beispiel der MSP430-Familie. ISBN (Buch):

Stichwortverzeichnis. Matthias Sturm. Mikrocontrollertechnik. Am Beispiel der MSP430-Familie. ISBN (Buch): Stichwortverzeichnis Matthias Sturm Mikrocontrollertechnik Am Beispiel der MSP430-Familie ISBN (Buch): 978-3-446-42231-5 ISBN (E-Book): 978-3-446-42964-2 Weitere Informationen oder Bestellungen unter http://www.hanser-fachbuch.de/978-3-446-42231-5

Mehr

Praktikum Mikrorechner 4 (Bitmanipulation und Spezialregister)

Praktikum Mikrorechner 4 (Bitmanipulation und Spezialregister) Prof. Kemnitz Institut für Informatik, Technische Universität Clausthal November 5, 2014 1/18 Praktikum Mikrorechner 4 (Bitmanipulation und Spezialregister) Prof. Kemnitz Institut für Informatik, Technische

Mehr