D.4 Versuchsreihe 4: Integrierte Logikbausteine

Größe: px
Ab Seite anzeigen:

Download "D.4 Versuchsreihe 4: Integrierte Logikbausteine"

Transkript

1 .4 Versuchsreihe 4: Integrierte Logikbausteine Abgabedatum: Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In den folgenden Versuchsreihen soll ein einfacher RISC Prozessor entworfen und auf ein FPGA abgebildet werden. Zur Einarbeitung in die Entwurfswerkzeuge und in den Entwurfsablauf wird in dieser Versuchsreihe ein Volladdierer entworfen, der zunächst mit diskreten Bausteinen aufgebaut und anschließend auf ein FPGA abgebildet wird. Lesen Sie zur Vorbereitung die Kapitel 4 und 5 im Skript. Integrierte Logikbausteine Es soll mit integrierten Logikbausteinen der Reihe 74xx ein Volladdierer aufgebaut Aufgabe 1 werden. Legen Sie zur Spezifikation des Volladdierers eine Tabelle an, die für alle möglichen Eingangsbelegungen die gewünschten Ausgabebelegungen angibt. A B C_IN S C_OUT Hardware-Praktikum 2010: Versuchsreihe 4 1

2 .4: Versuchsreihe 4: Integrierte Logikbausteine Aufgabe 2 Zum Aufbau der Schaltung stehen Ihnen die folgenden Gatter zur Verfügung: AN2, OR2, NAN2, NOR2, INV, XOR2. Entwerfen Sie daraus eine Gatternetzliste für den Volladdierer. Hinweis: Um sich später die Arbeit zu erleichtern, sollten Sie versuchen, möglichst wenige verschiedene Gattertypen zu verwenden (z. B. ist eine Implementierung mit nur zwei XOR2- und drei NAN2-Gattern möglich). Aufgabe 3 Markieren Sie in Ihrer Schaltung einen statisch sensibilisierbaren Pfad mit einer maximalen Anzahl von Gattern. Welches ist der Eingangs-, welches der Ausgangsknoten? Geben Sie eine Belegung der übrigen Eingänge an, so dass der Pfad sensibilisiert wird. Beachten Sie, dass auf dem Pfad alle übrigen Eingänge (Off-Path- Signale) einen stabilen Wert aufweisen müssen! Versuch 4 Bauen Sie auf der Experimentierplatine den Volladdierer auf. ie Logikbausteine der Reihe 74xx implementieren jeweils 4 AN, 4 OR, 4 NAN, 4 NOR und 4 XOR Gatter, sowie 6 Inverter. ie Pinbelegung ist in Abbildung.1 skizziert. ie Versorgungsspannung für die Logikbausteine beträgt 5V. a die LEs der Einund Ausgabeplatine etwas mehr Strom benötigen, begrenzen Sie den Strom am Netzteil bitte nun auf 300mA. Validieren Sie die korrekte Funktion des Volladdierers. Schließen Sie dazu den Volladdierer an die Ein-/Ausgabeplatine (vgl. Skript Abbildung 2.15) an und überprüfen Sie, ob für alle möglichen Eingangsbelegungen die korrekte Ausgabe erzeugt wird. Lassen Sie den Versuchsaufbau vom Tutor abzeichnen. Versuch gesehen 2 Hardware-Praktikum 2010: Versuchsreihe 4

3 A B C_IN S C_OUT Abbildung.1: Logikbausteine der Reihe 74xx. Messen Sie die Verzögerungszeit des Volladdierers entlang des in Aufgabe 3 be- Versuch 5 stimmten Pfades. Legen Sie dazu an den entsprechenden Eingang ein 1 MHz - Rechtecksignal an und verbinden Sie die übrigen Eingänge je nach erforderlicher Belegung mit VCC oder GN. Stellen Sie mit dem Oszilloskop sowohl das Eingangs- (Ch. 1) als auch das Ausgangssignal (Ch. 2) dar. Bestimmen Sie für die steigende und fallende Ausgangsflanke jeweils die Verzögerung und fügen Sie dem Protokoll jeweils eine Skizze des Schirmbildes bei. Hardware-Praktikum 2010: Versuchsreihe 4 3

4 .4: Versuchsreihe 4: Integrierte Logikbausteine 4 Hardware-Praktikum 2010: Versuchsreihe 4

5 Entwurf eines Volladdierers (Fulladder) Erstellen Sie ein Unterverzeichnis fa und wechseln Sie in dieses. Versuch 6 name@ralab01:~$ mkdir fa name@ralab01:~$ cd fa Schreiben Sie ein VHL-Modul, das die Gatternetzliste aus Aufgabe 2 implementiert. Hier ist ein Beispiel für ein VHL-Modul mit einer anderen Funktion: library IEEE; use IEEE. std_logic_1164. all; use IEEE. std_logic_misc; use IEEE. std_logic_arith; use IEEE. std_logic_unsigned; ENTITY nd IS PORT ( A : in Std_Logic; B : in Std_Logic; Z : out Std_Logic); EN nd; ARCHITECTURE behavior OF nd IS BEGIN Z <= not (A and B); EN behavior; efinieren Sie zunächst in der Entity-eklaration die Eingänge und Ausgänge ihrer Schaltung. ie Implementation der Gatternetzliste wird im Architecture-Block angegeben. Für die Logik-Operationen stellt VHL die Operatoren and, or, xor und not bereit. Speichern Sie den Quellcode unter fa.vhd ab. Wenn Sie die Eingabe Ihres Entwurfs beendet und ggf. Fehler korrigiert haben, lassen Sie sich den erfolgreichen Versuch vom Tutor bestätigen. Versuch gesehen Hardware-Praktikum 2010: Versuchsreihe 4 5

6 .4: Versuchsreihe 4: Integrierte Logikbausteine Aufgabe 7 Validierung des Volladdierers Loggen Sie sich via ssh auf einem RALAB-Rechner (siehe Kapitel A.2.3) ein. Eine Verbindung aus den Pools der Informatik ist dabei deutlich performanter als über SL. Als Alternative können Sie nx verwenden. Ein kostenloser Client findet sich unter Starten Sie ModelSim, wie im Kapitel 4.3 beschrieben. Vollziehen Sie den Abschnitt Lesson 2 aus dem Tutorial der Online-Hilfe nach und geben Sie einen Ausdruck (Screenshot) des ModelSim Hauptfensters, welches (auch) die Waveform zeigt ab. Hinweis: Im Verzeichnis /cad/mentor/modelsim-6.1b/modeltech/examples/tutorials/vhdl/basicsimulation/ liegen benötigten VHL-Beispieldateien. Versuch 8 ie Gatternetzliste muss validiert werden, d. h. es muss überprüft werden, ob die Funktion der Schaltung der Spezifikation genügt. Validieren Sie den Volladdierer mit Hilfe von ModelSim, indem Sie von Hand alle 8 möglichen Eingabestimuli erzeugen (im Gegensatz zum Tutorial haben Sie im Moment keine Testbench für den Volladdierer). Klicken Sie dazu mit der rechten Maustaste auf das Eingangssignal, welchem Sie einen Wert zuweisen möchten und wählen Sie den Menüpunkt Force... aus. Es öffnet sich ein Fenster, in dem Sie unter Value den gewünschten Wert (0 oder 1) angeben können. Bestätigen Sie mit OK und lassen Sie den Simulator fuer 100ns weiterlaufen, damit die Wertänderung des Signals wirksam wird. Machen Sie einen Ausdruck der Waveform für Ihr Protokoll. 6 Hardware-Praktikum 2010: Versuchsreihe 4

7 Synthese des Volladdierers Nachdem der Volladdierer vollständig validiert wurde, soll der Entwurf synthetisiert, d. h. in Hardware umgesetzt werden. In den vorhergehenden Schritten wurde die Gatternetzliste des Volladdierers ent- Aufgabe 9 worfen. Welche Arbeitsschritte sind notwendig, um den Volladdierer in ein Layout für die Chipherstellung umzusetzen? Im Praktikum wird als Zieltechnologie ein FPGA gewählt. Welche Arbeitsschritte Aufgabe 10 sind notwendig, um die Gatternetzliste in Programmierdaten für den FPGA umzusetzen? Für die Schaltungssynthese wird das Programm XST eingesetzt. In Kapitel Versuch 11 finden Sie eine Anleitung, wie Sie dieses Programm bedienen. Erstellen Sie die Projektdatei fa.prj und die XST Skriptdatei fa.scr wie in Kapitel beschrieben und machen Sie eine Leistungsanalyse vor Place&Route für das Protokoll. Hardware-Praktikum 2010: Versuchsreihe 4 7

8 .4: Versuchsreihe 4: Integrierte Logikbausteine Place & Route Versuch 12 In Abbildung.2 sehen Sie den Inhalt der atei fa.ucf die von ngc2bit benötigt wird. Nachdem Sie mit XST eine.ngc-atei erzeugt haben und mit einem Texteditor die atei fa.ucf eingegeben haben, muss mit ngc2bit (siehe Kapitel 4.4.1) eine Bitdatei erzeugt werden. Machen Sie eine Leistungsanalsyse nach Place&Route für das Protokoll. NET A LOC=P234; NET B LOC=P238; NET C_IN LOC=P236; NET S LOC=P157; NET C_OUT LOC=P160; Abbildung.2: Zuordnung der Ports des Volladdierers zu den Pins des Chipgehäuses für den Volladdierer. Personalisierung und Messung des FPGAs Versuch 13 Lassen Sie das FPGA-Board von Ihrem Tutor aufbauen. Lesen Sie während des Aufbaus die Anleitung für xsload in Kapitel 5.2 nach. Personalisieren Sie das FPGA. Über die roten LEs auf dem FPGA-Board wird der an den FPGA Pins anliegende Signalwert angezeigt. Abbildung.3 zeigt die Bedeutung der LEs. Beachten Sie, dass die LEs invertiert sind. Eine leuchtende LE repräsentiert eine logische 0 am Ausgang, eine 1 wird durch eine nicht leuchtende LE angezeigt. Abbildung.3: Bedeutung der LEs. 8 Hardware-Praktikum 2010: Versuchsreihe 4

9 Signale können mit Hilfe von Tastern auf dem Board, die aus dem Gehäuse herausgeführt sind, angelegt werden. Abbildung.4 zeigt die Belegung der Taster: Abbildung.4: Belegung der Taster. Ein gedrückter Taster legt eine logische 1 am Eingang des FPGA an, nicht gedrückte Taster eine 0. Achtung: Ziehen Sie niemals einen Stecker vom FPGA-Board. Bei falschem Anschluss der Stecker kann das FPGA-Board zerstört werden. Überprüfen Sie mit Hilfe der Taster, ob die Personalisierung des FPGAs erfolgreich Versuch 14 abgelaufen ist. Legen Sie dazu alle möglichen Eingabekombinationen an die Taster A, B und C_IN an. ie Ausgabewerte können direkt an den LEs abgelesen werden. Lassen Sie den Versuch vom Tutor abzeichnen. Versuch gesehen Schalten Sie alle Geräte bis auf den Rechner aus. Überprüfen Sie, ob alle Leitungen, Versuch 15 Multimeter und Tastköpfe aufgeräumt sind. Stellen Sie die Stühle an die Tische und vergewissern Sie sich nochmals, dass der Platz in ordnungsgemäßem Zustand ist. Lassen Sie bitte keinen Unrat unter den Tischen stehen! Hardware-Praktikum 2010: Versuchsreihe 4 9

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

D.9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese

D.9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese .9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese Abgabedatum: 25.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) Aufbau des Gesamtsystems amit ein funktionsfähiges

Mehr

D.3 Versuchsreihe 3: Transistoren und Grundgatter

D.3 Versuchsreihe 3: Transistoren und Grundgatter .3: Versuchsreihe 3: Transistoren und Grundgatter.3 Versuchsreihe 3: Transistoren und Grundgatter Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In dieser Versuchsreihe

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

D.2 Versuchsreihe 2: Spice

D.2 Versuchsreihe 2: Spice .2: Versuchsreihe 2: Spice.2 Versuchsreihe 2: Spice Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In dieser Versuchsreihe soll das Frequenzverhalten von RC-Gliedern

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

D.8 Versuchsreihe 8: Steuerwerk - Teil I

D.8 Versuchsreihe 8: Steuerwerk - Teil I .8 Versuchsreihe 8: Steuerwerk - Teil I Abgabedatum: 13.06.2008 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In dieser Versuchsreihe soll der Aufbau des Gesamtsystems

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 3 - Diskrete Transistoren Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Organisatorisches Wie funktioniert ein MOSFET? Was

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

D.1 Versuchsreihe 1: Messtechnik

D.1 Versuchsreihe 1: Messtechnik D.1: Versuchsreihe 1: Messtechnik D D.1 Versuchsreihe 1: Messtechnik Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In dieser Versuchsreihe soll das Frequenzverhalten

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

3. Hardware CPLD XC9536 von Xilinx. CPLD / FPGA Tutorial

3. Hardware CPLD XC9536 von Xilinx. CPLD / FPGA Tutorial 3. Hardware 3.1. CPLD XC9536 von Xilinx Programmierbare Logikbausteine sind in unzähligen Varianten verfügbar. Die Baugrößen reichen von 20 bis 1704 Pins. Der Preis beginnt bei wenigen Euro für einfache

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Hardwarepraktikum WS 2001/02

Hardwarepraktikum WS 2001/02 Hardwarepraktikum W / Versuch equentielle ysteme III Gruppe 68: enise Baldauf, 474 Thomas Winter, 4778 Michael Grieswald, 496 hemnitz, den.. Aufgabenstellungen zur Vorbereitung Aufgabe >> Beschreiben ie

Mehr

FAQ Kommunikation über PROFIBUS

FAQ Kommunikation über PROFIBUS FAQ Kommunikation über PROFIBUS Kommunikation über PROFIBUS FAQ Inhaltsverzeichnis Inhaltsverzeichnis... 2 Frage... 2 Wie konfiguriere ich eine PC-Station als DP-Master zur Anbindung an einen DP-Slave

Mehr

Betriebsverhalten von digitalen integrierten Schaltungen

Betriebsverhalten von digitalen integrierten Schaltungen Betriebsverhalten von digitalen integrierten Schaltungen Paul Brosovsky, Manuel Janocha, Dennis Winkler 3. Dezember 2009 1 Inhaltsverzeichnis 1 Übergangsverhalten 3 1.1 Versuchsbeschreibung......................................

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v1.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v1.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3. Semester - WS 2002 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-0341-97 32213 Zimmer: HG

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Praktikum 2: Diode, Logische Schaltungen mit Dioden und Feldeffekttransistoren

Praktikum 2: Diode, Logische Schaltungen mit Dioden und Feldeffekttransistoren PraktikantIn 1 Matrikelnr: PraktikantIn 2 Matrikelnr: Datum: Aufgabe 2 durchgeführt: Aufgabe 3 durchgeführt: Aufgabe 4a durchgeführt: Aufgabe 4b durchgeführt: Aufgabe 4c durchgeführt: Aufgabe 4d durchgeführt:

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten Elektronikpraktikum SS 2011 7. Serie 30.06.2011 J. Pochodzalla und W. Lauth mit Assistenten Do. 30.06.11 13:00-16:00 Uhr, sowie Fr. 01.07.11 13:00-16:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum) 1. Stock,

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen.

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen. Elektronikpraktikum SS 2015 7. Serie: Digitale Schaltungen U. Schäfer, A. Brogna, Q. Weitzel und Assistenten Ausgabe: 07.07.2015, Durchführung: Di. 14.07.15 13:00-17:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum)

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

Einführung in Automation Studio

Einführung in Automation Studio Einführung in Automation Studio Übungsziel: Der links abgebildete Stromlaufplan soll mit einer SPS realisiert werden und mit Automation Studio programmiert werden. Es soll ein Softwareobjekt Logik_1 in

Mehr

Open Collector Remote Extension

Open Collector Remote Extension Open Collector - 40 - Remote Extension GERÄTEANSICHTEN Open Collector Ausgang 1 bis 16 (Anschluss 1) Open Collector Ausgang 17 bis 32 (Anschluss 2) Open Collector Ausgang 33 bis40 Remote Eingang 1 bis

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 22. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Vorbesprechung drittes Labor

Mehr

Digitale Systeme und Schaltungen

Digitale Systeme und Schaltungen Zusammenfassung meines Vortrages vom 26. Jänner 2017 Digitale Systeme und Schaltungen Andreas Grimmer Pro Scientia Linz Johannes Kepler Universität Linz, Austria andreas.grimmer@jku.at In dieser Zusammenfassung

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

A) Aktivierung der Barcodelesefunktion am VetScan I-STAT 1

A) Aktivierung der Barcodelesefunktion am VetScan I-STAT 1 A) Aktivierung der Barcodelesefunktion am VetScan I-STAT 1 Diese Funktion muss vor dem System-Update im Gerät aktiviert werden. 1. VetScan I-STAT 1 einschalten 2. Menü 3. 4 Einstelllungen 2 Ändern 4. Passwortabfrage

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 17.12.2015 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

2. Aufgabenblatt

2. Aufgabenblatt Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 2. Aufgabenblatt 28.04.2010 Aufgabe 1: Installation Xilinx ISE Als erstes muss die Entwicklungsumgebung ISE installiert werden. Die

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 29.11.2016 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Wechseln Sie in den oberen Bereich auf Start> Dokumentenverwaltung> Neues Schreiben.

Wechseln Sie in den oberen Bereich auf Start> Dokumentenverwaltung> Neues Schreiben. Software WISO Hausverwalter 2016 Thema Wie erstellt man Schreiben? Version / Datum V 1.0 / 23.06.2016 Die Software WISO Hausverwalter hat eine Dokumentenverwaltung, diese beinhaltet das Erzeugen und Verwalten

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

HomeServer/ FacilityServer UND Verknüpfung

HomeServer/ FacilityServer UND Verknüpfung HomeServer/ FacilityServer UND Verknüpfung In der folgenden Lerneinheit - legen Sie eine Logik an - verknüpfen Sie LB mit LB2 mittels einer Und-Verknüpfung - verbinden Sie den Ausgangswert der Verknüpfung

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

zur Verfügung gestellt durch das OpenOffice.org Dokumentations-Projekt

zur Verfügung gestellt durch das OpenOffice.org Dokumentations-Projekt Serienbriefe Wie Sie einen Serienbrief mittels Calc und Writer erstellen zur Verfügung gestellt durch das OpenOffice.org Dokumentations-Projekt Inhaltsverzeichnis 1. Erzeugen einer Quelldatei 2. Erzeugung

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

FAQ Kommunikation über IE

FAQ Kommunikation über IE FAQ Kommunikation über IE hochverfügbare S7-Kommunikation über IE FAQ Inhaltsverzeichnis Inhaltsverzeichnis... 2 Frage... 2 Wie erstelle ich eine hochverfügbare S7 -Verbindung für den SIMATIC NET OPC Server

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Recover Anleitung ONE XCELLENT-10 Tablet via USB Stick

Recover Anleitung ONE XCELLENT-10 Tablet via USB Stick Recover Anleitung ONE XCELLENT-10 Tablet via USB Stick Um Ihr Tablet wieder in den Auslieferungszustand zurück zu versetzen, wenn das vorinstallierte Windows 8.1 nicht mehr startet, führen Sie bitte folgende

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

Anleitung für die Installation des USB Netzwerkkabels unter WIN2000 :

Anleitung für die Installation des USB Netzwerkkabels unter WIN2000 : Anleitung für die Installation des USB Netzwerkkabels unter WIN2000 : Lieferumfang : USB-Netzwerkkabel Treiber-Diskette Deutsche Installationsanleitung Produktbeschreibung : Das USB-Netzwerkkabel ermöglicht

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Konfiguration des MIG für DDR2-SDRAM Ansteuerung

Konfiguration des MIG für DDR2-SDRAM Ansteuerung Konfiguration des MIG für DDR2-SDRAM Ansteuerung Verwendete Ressourcen: Board: Spartan-3A/3AN FPGA Starter Kit Board FPGA: XC3S700AN Speicher: Micron Technology DDR2-SDRAM (MT47H32M16) Software: - ISE

Mehr

Erste Schritte in OmniControl

Erste Schritte in OmniControl Dieses redaktionell überarbeitete Tutorial wurde von den Studenten S. Herbeth und M. Kiefer im Rahmen eines Projekts im koop. Masterstudiengang Berufliche Bildung der PH Freiburg/HS Offenburg erstellt.

Mehr

6 Lagerverwaltung. Einführung Lagerverwaltung. Artikelbestände automatisch austragen - Läger stimmen

6 Lagerverwaltung. Einführung Lagerverwaltung. Artikelbestände automatisch austragen - Läger stimmen Einführung Lagerverwaltung Die Lagerverwaltung von msufakt!, msuprojekt, premiumhandel verschafft Ihnen die Übersicht über den Bestand von Artikeln in Ihrem Lager. Der Lagerbestand wird in der Artikelkarteikarte

Mehr

Installationsanleitung E-Newsletter

Installationsanleitung E-Newsletter Installationsanleitung E-Newsletter Einleitung...2 Installation WebService...2 Vorbereitung Windows Server 2003, 2008, 2008 R2...2 Vorbereitung Windows Server 2012...6 PROFFIX E-Newsletter WebService installieren...

Mehr

Installation unter MS-DOS... 2 Installation unter WINDOWS 3.x... 5 Installation unter WINDOWS 95/ Vorbereitungen zum Betrieb des Profi-5E...

Installation unter MS-DOS... 2 Installation unter WINDOWS 3.x... 5 Installation unter WINDOWS 95/ Vorbereitungen zum Betrieb des Profi-5E... Installation unter MS-DOS... 2 Installation unter WINDOWS 3.x... 5 Installation unter WINDOWS 95/98... 9 Vorbereitungen zum Betrieb des Profi-5E... 14 Seite 1 Installation unter MS-DOS Die Bedienung im

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch 10.05.2017, 14:00 Uhr 1.1. Einführung In dieser Übung werden Sie ein VHDL-Modul

Mehr

Securepoint Security Systems

Securepoint Security Systems HowTo: Bearbeiten von Templates Securepoint Security Systems Version 2007nx Release 3 Inhalt 1 Verbinden mit der Firewall... 4 2 Template bearbeiten... 5 2.1 Dienste anzeigen lassen... 5 2.2 Liste der

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 1. Schaltnetze. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 1. Schaltnetze. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T Schaltnetze Name:... Bogen erfolgreich bearbeitet:... Scheinkriterien

Mehr

Kennenlernen der Laborgeräte und des Experimentier-Boards

Kennenlernen der Laborgeräte und des Experimentier-Boards Kennenlernen der Laborgeräte und des Experimentier-Boards 1 Zielstellung des Versuches In diesem Praktikumsversuch werden Sie mit den eingesetzten Laborgeräten vertraut gemacht. Es werden verschiedene

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v5.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v5.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 4. Semester - SS 2006 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-0341-97 32213 Zimmer: HG

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Lösungsvorschlag

Mehr

Tech Tipp: Daten von OTT netdl nach Hydras 3 via USB

Tech Tipp: Daten von OTT netdl nach Hydras 3 via USB Tech Tipp: Daten von OTT netdl nach Hydras 3 via USB netdl Datentransfer per USB zu Hydras 3 1. Auslesen und Speichern der Daten im OTT-ML Format oder MIS Format auf den USB Stick 2. Daten vom USB Stick

Mehr

ISi. ISi Technologie GmbH. MET -Schnittstelle zu Davis WeatherLink Version 5.7

ISi. ISi Technologie GmbH. MET -Schnittstelle zu Davis WeatherLink Version 5.7 ISi ISi Technologie GmbH MET -Schnittstelle zu Davis WeatherLink Version 5.7 Einleitung Die MET -Schnittstelle zur Davis -WeatherLink Software Version 5.7 oder höher erlaubt die Online-Uebernahme der Wetterdaten

Mehr

Erforderliches Zubehör (nicht im Lieferumfang enthalten)

Erforderliches Zubehör (nicht im Lieferumfang enthalten) T6K SOFTWARE UPDATE ANLEITUNG (Version: 2.0) Durch Software Updates bleibt Ihr Futaba T6K Fernsteuersystem stets auf dem aktuellen Stand und kann um interessante Funktionen erweitert werden. Laden Sie

Mehr

How to Public key authentication with freesshd

How to Public key authentication with freesshd How to Public key authentication with freesshd Enthaltene Funktionen - Umstellung auf Public key authentication - Generierung eines Private keys mit PuTTY Key Generator - Verbindung testen Voraussetzung

Mehr

Switch-Board-Datenblatt EB

Switch-Board-Datenblatt EB Switch-Board-Datenblatt EB007-00-1 Inhalt 1. Über dieses Dokument... Error! Bookmark not defined. 2. Allgemeine Information... 3 3. Board-Layout... 4 4. Schaltungsbeschreibung... Error! Bookmark not defined.

Mehr

Schülerseminar Programmieren einer Ampelsteuerung

Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 1/17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 Prof. G. Kemnitz Institut für Informatik

Mehr