Hardwarepraktikum WS 1997/98. Versuch 2. Kombinatorische Systeme I

Größe: px
Ab Seite anzeigen:

Download "Hardwarepraktikum WS 1997/98. Versuch 2. Kombinatorische Systeme I"

Transkript

1 Harwarepraktikum WS 1997/98 Versuch 2 Kombinatorische Systeme I Jan Horbach, Chris Hübsch, Lars Joran, Seite 1

2 1. Aufgabe: Gegenstan es Versuchs ist ie BOOLEsche Funktion f = x1 x2 x3 x4 Berechnen Sie eine Testfolge (minimaler Länge), ie geeignet ist, alle SA0- un alle SA1- Fehler an en Eingängen un em Ausgang einer technischen Realisierung er Funktion f zu erkennen. Bestimmung er Testfolge: Ein Stuck-at-Fehler ist ein Fehler, er so wirkt, als würe er Pegel an einem Ein- oer Ausgang unabhängig vom Testvektor fest auf 0 (SA0-Fehler) oer 1 (SA1-Fehler) liegen. Es muß für jeen Fehler minestens ein Testvektor bestimmt weren, er geeignet ist, iesen Fehler zu erkennen. Durch einen Soll-Ist-Vergleich kann ann geprüft weren, ob einer er Fehler, ie urch iesen Testvektor erkannt weren, vorliegt. Die Testvektoren müssen zwei Beingungen genügen: Provokationsbeingung: Der Befehl muß provoziert weren,.h. zum Nachweis eines SA0-Fehlers muß am Fehlerort eine 1 angelegt weren, um ie Verfälschung zu 0 am Ausgang beobachten zu können, un zum Nachweis eines SA1-Fehlers muß analog er Pegel 0 am Fehlerort angelegt weren. Transportbeingung: Die Belegung er aneren Eingänge ist so zu wählen, aß er Pegel minestens eines Ausgangs vom Pegel am Fehlerort abhängt,.h. er Fehler am Fehlerort muß zum Ausgang transportiert weren können. Aus iesen Beingungen läßt sich eine Berechnungsvorschrift er Testvektoren ableiten, ie geeignet sin, Stuck-at-Fehler an einem Eingang x i er technischen Realisierung einer BOOLEschen Funktion f zu erkennen: SA0-Defekt an x i : Tx = xi ( f( x,..., xi,..., xk) f( x,..., xi,..., x i k) = SA1-Defekt an x i : T = x x i ( f( x,..., xi,..., xk) f( x,..., xi,..., x = i k) Da er Ausgang stets beobachtbar ist, entfällt für ort auftretene Fehler ie Transportbeingung: T T f 0 f 1 = f = 1 = f = 1 Seite 2

3 Berechnung er Transportbeingungen: f( x ) f( x ) = ( x x x x ) ( x x x x ) = = f( x ) f( x ) = ( x x x x ) ( x x x x ) = = f( x ) f( x ) = ( x x x x ) ( x x x x ) = = f( x ) f( x ) = ( x x x x ) ( x x x 3 x 4 ) = = Die Transportbeingung ist also für alle x i 1,.h. jee Pegelänerung an einem Eingang änert as Ergebnis am Ausgang, unabhängig von er Belegung er aneren Eingänge. Berechnung er Mengen er Testvektoren: Da ie Transportbeingung für alle Eingänge 1 ist, vereinfachen sich ie allgemeinen Gleichungen zu: T = x 1 = 1 x = 1 xi 0 i i T = x 1= 1 x = 0 xi 1 i i T = ( x x x x ) = 1 stabelle. f T = ( x x x x ) = 1 stabelle. f Die Testvektoren lassen sich nun in einer Tabelle zusammenfassen. TV steht abei für Testvektor un δ(tv) für essen Dezimaläquivalent. In ie Matrix ist as Attribut e i,j mit i=δ(tv) un j Menge er betrachteten Fehler wie folgt eingetragen: e i,j = 0, falls er Testvektor i nicht geeignet ist, en Fehler j zu erkennen 1, falls er Testvektor i geeignet ist, en Fehler j zu erkennen δ(tv) Fehler \ TV x x x x x x x x f f Seite 3

4 Nun muß ie kürzeste Folge von Testvektoren bestimmt weren, ie alle betrachteten Fehler erkennt,.h. in er Testfolge muß für jeen Fehler ein Testvektor enthalten sein, er iesen Fehler erkennen kann. Damit gilt: ( ) = j e ij, 1 i Für ie konkrete Aufgabe folgt araus (er 2. Inex j ist er Einfachheit halber weggelassen): ( e e e e e e e e ( e e e e e e e e ( e e e e e e e e ( e e e e e e e e ( e e e e e e e e ( e e e e e e e e ( e1e3e5 e7 e9 e11e13 e 15 ( e e e e e e e e ( e e e e e e e e = 1 ( e e e e e e e e ) Ein Auflösen un Ausmultiplizieren ieses Ausrucks wäre ziemlich kompliziert un aufwenig. Wenn man jeoch ie Tabelle genauer betrachtet, stellt man fest, aß man bei en Eingängen urch ein Falten un Umklappen er Tabelle zwischen i=7 un i=8 alle Feler er Tabelle abecken kann. Das beeutet, aß sich schon urch 2 Testvektoren alle Fehler erkennen ließen. Aber offensichtlich genügen ie e i,j für en Ausgang nicht ieser Beingung, so aß man einen ritten Testvektor benötigt, er en noch ausstehenen Fehler erkennt. Wir haben ie Testvektoren 0111 (i=7) un 1000 (i=8) ausgewählt, ie sämtliche Stuckat-Fehler an en Eingängen sowie en SA0-Fehler an f erkennen, un zusätzlich noch en Testvektor 1001 (i=9), er geeignet ist, en übrigbleibenen SA1-Fehler an f zu erkennen. Eine mögliche Testfolge er minimalen Länge 3 lautet also: {0111, 1000, 1001} Die erwarteten Pegel am Ausgang betragen für ie ersten beien Testvektoren 1, für en letzten Testvektor 0. Falls man einen Eingang änert, muß sich auch as Ergebnis änern. Zur Simulierung eines SA0-Fehlers kann man also en Eingangspegel von 1 auf 0 verfälschen, un wenn sich ann er Ausgangspegel änert, beeutet as, aß er Testvektor so einen SA0-Fehler an em Eingang tatsächlich erkennen kann. Bei einem SA1-Fehler verfährt man analog, inem man bewußt en Pegel von 0 auf 1 verfälscht. Zusammenfassen: Der Ausgang arf nur bei em angelegten Testvektor en erwarteten Pegel führen, bei einer bewußten Eingangsänerung (was einem Stuck-at-Fehler entsprechen würe) muß sich er Ausgangspegel änern. Seite 4

5 2. Aufgabe: Realisieren Sie ie Funktion f mit einem 16-zu-1-Multiplexer. Legen Sie ie Testfolge aus 1. an ie Schaltung an un prüfen Sie ie Vollstänigkeit er Testfolge in bezug auf ie betrachteten Fehler. Vorüberlegung: Die zu realisierene Funktion f = x1 x2 x3 x4 liefert, ausmultipliziert: xx 1 2xx 3 4 xxxx xx 1 2 x3x4 xxxx xx 1 2x3x4 xxxx xx 1 2 xx 3 4 xxxx Der Multiplexer besitzt folgene allgemeine Schaltfunktion: ssss ssss ssss ssss ssss ssss ssss ssss ssss ssss ssss ssss ssss ssss ssss ssss Setzt man nun s 3 =x 1, s 2 =x 2, s 1 =x 3 un s 0 =x 4, liefert ein Koeffizientenvergleich folgene Werte für ie Dateneingänge : 0 =0, 1 =1, 2 =1, 3 =0, 4 =1, 5 =0, 6 =0, 7 =1, 8 =1, 9 =0, 10 =0, 11 =1, 12 =0, 13 =1, 14 =1, 15 =0 Die Werte für s un legt man an en Multiplexer an, enable e wir mit 0 beschaltet, a es negiert vorliegt, un er Ausgang wir urch ein NAND negiert, amit man en Pegel irekt mit en aneren zwei Realisierungsmöglichkeiten vergleichen kann: e MUX 16:1 s 4 f 16 Im Anhang befinet sich auch eine VHDL-Beschreibung ieser Schaltung. Seite 5

6 Durchführung: Legt man ie oben hergeleiteten Werte un Testvektoren an ie Schaltung an, erhält man genau ie erwarteten Ergebnisse. Änert man nun jeweils einen Eingang eines Testvektors auf en entgegengesetzten Wert (was einem Stuck-at-Fehler auf iesem Wert entspricht), änert sich auch as Ergebnis,.h. er betrachtete Testvektor wäre in er Lage, iesen Fehler zu erkennen: TV mit Fehler Ergebnis TV mit Fehler Ergebnis TV mit Fehler Ergebnis Da as für alle Testvektoren un Fehler er Fall war un außerem auch ein SA0- bzw. SA1- Fehler am Ausgang sofort festgestellt weren kann, ist as System von Testvektoren vollstänig in bezug auf ie betrachteten Fehler. Es reicht also aus, nur iese rei Testvektoren an ie Schaltung anzulegen, um eren korrekte Realisierung (.h. ohne Einfach- Stuck-at-Fehler) festzustellen. Seite 6

7 3. Aufgabe: Realisieren Sie ie Funktion f mit einem 16-zu-1-Multiplexer. Der 16-zu-1-Multiplexer ist aus zwei 8-zu-1-Multiplexern (un einigen Grungattern) zusammenzusetzen. Legen Sie ie Testfolge aus 1. an ie Schaltung an un prüfen Sie ie Vollstänigkeit er Testfolge in bezug auf ie betrachteten Fehler. Vorüberlegung: Die Werte für s un sin enen aus Aufgabe 2 ientisch, a sich er Multiplexer nach außen hin genauso verhalten soll. Enable e wir wieer mit 0 beschaltet, er Ausgang braucht allerings nicht noch einmal negiert zu weren, a er schon in er normalen Form vorliegt. Die Dateneingänge müssen nun auf ie beien Multiplexer aufgeteilt weren (siehe Schaltung), un ie Areßeingänge s müssen mit rei er x i belegt weren. Wir haben azu x 1, x 2 un x 3 ausgewählt; x 4 geht ann in ie Grungatter mit ein. Bei ieser Belegung gilt folgene Schaltbelegungstabelle: x 1 x 2 x 3 x 4 f 1 (MUX1) f 2 (MUX2) f Aus ieser Tabelle läßt sich folgene Formel für f ableiten: f = ( x f ) ( x f ) Da wir jeoch nur NAND-Gatter zur Verfügung haben, muß ie Formel erst urch Anwenung er DE MORGANschen Gesetze so umgewanelt weren, ass nur noch AND un NOT vorkommen. Diese Formel lautet ann: f x f x f = Somit benötigen wir 4 NAND-Gatter mit jeweils 2 Eingängen. Der 16-zu-1-Multiplexer kann ann also folgenermaßen urch zwei 8-zu-1-Multiplexer realisiert weren: Seite 7

8 s 3 s 2 s 1 s 0 e s 2 s 1 s 0 e MUX 8:1 f 1 f 1 f s 2 s 1 s 0 e 0 MUX 8:1 f f Im Anhang befinet sich auch eine VHDL-Beschreibung ieser Schaltung. Durchführung: Die Ergebnisse entsprechen genau enen von Aufgabe 2. Also ist as System von Testvektoren vollstänig in bezug auf ie betrachteten Fehler un ie Schaltung ist korrekt. Seite 8

9 4. Aufgabe: Realisieren Sie ie Funktion f mit nur einem 8-zu-1-Multiplexer (16-zu-1-Multiplexer mit s 3 =0, nicht belegt) un einem Negator. Legen Sie ie Testfolge aus 1. an ie Schaltung an un prüfen Sie ie Vollstänigkeit er Testfolge in bezug auf ie betrachteten Fehler. Vorüberlegung: Dieser Multiplexer besitzt folgene allgemeine Schaltfunktion: sss sss sss sss sss sss sss sss Setzt man s 2 =x 1, s 1 =x 2 un s 0 =x 3, liefert ein Koeffizientenvergleich folgene Werte für ie Dateneingänge : = x, = x, = x, = x, = x, = x, = x, = x Die Werte für s un legt man wieer an en Multiplexer an, enable e wir wieerum mit 0 beschaltet, un er Ausgang muß iesmal auch wieer urch ein NAND negiert weren, amit man en Pegel irekt mit en aneren zwei Realisierungsmöglichkeiten vergleichen kann: 0 x 1 x 2 x 3 s 3 s 2 s 1 s 0 e e x MUX 16:1 (8:1) f Im Anhang befinet sich auch eine VHDL-Beschreibung ieser Schaltung. Durchführung: Auch iese Ergebnisse entsprechen genau enen von Aufgabe 2. Also ist as System von Testvektoren vollstänig in bezug auf ie betrachteten Fehler un auch iese Schaltung ist korrekt. Seite 9

10 Anhang VHDL-Beschreibung: -- Funktionspackage package func is function bin2nat (bits : bit_vector) return natural; en func; package boy func is function bin2nat (bits : bit_vector) return natural is variable result : natural := 0; for i in bits'range loop result := result * 2 + bit'pos(bits(i)); en loop; return result; en bin2nat; en func; er-NAND entity nan2 is port (x1,x2 : in bit; y : out bit); en nan2; architecture ataflow of nan2 is y <= not(x1 an x2); en ataflow; -- 8:1-Multiplexer use work.func.all; entity mux8 is -- enable negiert s : in bit_vector (2 ownto 0); -- Aresseingang : in bit_vector (0 to 7); -- Dateneingang f,nf : out bit); -- Ausgang (+negiert) en mux8; architecture verh of mux8 is signal temp : bit; process (ne,s,,temp) temp <= (bin2nat(s)) an (not ne); f <= temp; nf <= not temp; en process; en verh; -- 16:1-Multiplexer use work.func.all; entity mux16 is s : in bit_vector (3 ownto 0); : in bit_vector (0 to 15); nf : out bit); en mux16; -- enable negiert -- Aresseingang -- Dateneingang -- Ausgang negiert Seite 10

11 architecture verh of mux16 is process (ne,s,) nf <= not ((bin2nat(s)) an (not ne)); en process; en verh; Schaltung aus Aufgabe 2 entity schaltung1 is -- Anlegen von 0 (not enable) x1,x2,x3,x4 : in bit; -- Anlegen er Testvektoren : in bit_vector (0 to 15); -- Anlegen von f : out bit); -- Ausgang en schaltung1; architecture struc of schaltung1 is component mux16 s : in bit_vector (3 ownto 0); : in bit_vector (0 to 15); nf : out bit); component nan2 port (x1,x2 : in bit; y : out bit); signal ar : bit_vector (3 ownto 0); signal nf : bit; for all: mux16 use entity work.mux16 (verh); for all: nan2 use entity work.nan2 (ataflow); ar <= x1x2x3x4; mux_1: mux16 port map (ne,ar,,nf); nan_1: nan2 port map (nf,nf,f); en struc; -- enable negiert -- Aresseingang -- Dateneingang -- Ausgang negiert -- Schaltung aus Aufgabe 3 entity schaltung2 is -- Anlegen von 0 (not enable) x1,x2,x3,x4 : in bit; -- Anlegen er Testvektoren : in bit_vector (0 to 15); -- Anlegen von f : out bit); -- Ausgang en schaltung2; architecture struc of schaltung2 is component mux8 -- enable negiert s : in bit_vector (2 ownto 0); -- Aresseingang : in bit_vector (0 to 7); -- Dateneingang f,nf : out bit); -- Ausgang (+negiert) component nan2 port (x1,x2 : in bit; y : out bit); signal m1,m2,nm1,nm2 : bit; signal n1,n2,n3,n4 : bit; signal eing1,eing2 : bit_vector (0 to 7); signal ar : bit_vector (2 ownto 0); for all: mux8 use entity work.mux8 (verh); for all: nan2 use entity work.nan2 (ataflow); Seite 11

12 teiler: process () -- Aufteilung er Dateneingaenge for i in 0 to 7 loop eing1(i) <= (i*2+1); eing2(i) <= (i*2); en loop; en process; ar <= x1x2x3; mux_1: mux8 port map (ne,ar,eing1,m1,nm1); mux_2: mux8 port map (ne,ar,eing2,m2,nm2); nan_1: nan2 port map (x4,x4,n1); nan_2: nan2 port map (x4,m1,n2); nan_3: nan2 port map (n1,m2,n3); nan_4: nan2 port map (n2,n3,f); en struc; -- Schaltung aus Aufgabe 4 entity schaltung3 is x1,x2,x3,x4 : in bit; f : out bit); en schaltung3; -- Anlegen von 0 (not enable) -- Anlegen er Testvektoren -- Ausgang architecture struc of schaltung3 is component mux16 -- nur als 8:1-MUX beschaltet -- enable negiert s : in bit_vector (3 ownto 0); -- Aresseingang : in bit_vector (0 to 15); -- Dateneingang nf : out bit); -- Ausgang negiert component nan2 port (x1,x2 : in bit; y : out bit); signal nx4,nf : bit; signal e8to15 : bit_vector (8 to 15) := " "; -- nicht beschaltet signal zero : bit := '0'; -- S3 = 0 signal eing : bit_vector (0 to 15); -- nur Bits 0-7 gebraucht signal ar : bit_vector (3 ownto 0); -- nur Bits 2-0 gebraucht for all: mux16 use entity work.mux16 (verh); for all: nan2 use entity work.nan2 (ataflow); nan_1: nan2 port map (x4,x4,nx4); -- Signal x4 ausserhalb es MUX negiert eing <= x4nx4nx4x4nx4x4x4nx4e8to15; ar <= zerox1x2x3; mux_1: mux16 port map (ne,ar,eing,nf); nan_2: nan2 port map (nf,nf,f); en struc; Testbench zum Vergleich aller 3 Realisierungen entity test is en test; architecture test of test is component schaltung1 -- Anlegen von 0 (not enable) x1,x2,x3,x4 : in bit; -- Anlegen er Testvektoren : in bit_vector (0 to 15); -- Anlegen von f : out bit); -- Ausgang Seite 12

13 component schaltung2 -- Anlegen von 0 (not enable) x1,x2,x3,x4 : in bit; -- Anlegen er Testvektoren : in bit_vector (0 to 15); -- Anlegen von f : out bit); -- Ausgang component schaltung3 -- Anlegen von 0 (not enable) x1,x2,x3,x4 : in bit; -- Anlegen er Testvektoren f : out bit); -- Ausgang signal ne : bit := '0'; -- not enable signal x1,x2,x3,x4 : bit; -- Testvektoren signal : bit_vector(0 to 15) := " "; signal f1,f2,f3 : bit; -- Ausgaenge for all: schaltung1 use entity work.schaltung1 (struc); for all: schaltung2 use entity work.schaltung2 (struc); for all: schaltung3 use entity work.schaltung3 (struc); x1 <= '0', '1' after 100 ns; x2 <= '1', '0' after 100 ns; x3 <= '1', '0' after 100 ns; x4 <= '1', '0' after 100 ns, '1' after 200 ns; schaltung_1: schaltung1 port map (ne,x1,x2,x3,x4,,f1); schaltung_2: schaltung2 port map (ne,x1,x2,x3,x4,,f2); schaltung_3: schaltung3 port map (ne,x1,x2,x3,x4,f3); en test; Seite 13

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I Hardwarepraktikum W 997/98 hemnitz, 8.03.09 Hardwarepraktikum W 997/98 Versuch 4 equentielle ysteme I Jan Horbach, 758 hris Hübsch, 7543 Lars Jordan, 7560 eite Hardwarepraktikum W 997/98 hemnitz, 8.03.09

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Mathematik 1 -Arbeitsblatt 1-9: Multiplizieren mehrgliedriger Termee. 1F Wintersemester 2012/2013 Unterlagen: LehrerInnenteam GFB

Mathematik 1 -Arbeitsblatt 1-9: Multiplizieren mehrgliedriger Termee. 1F Wintersemester 2012/2013 Unterlagen: LehrerInnenteam GFB Schule Thema Personen Bunesgymnasium für Berufstätige Salzburg Mathematik 1 -Arbeitsblatt 1-9: Multiplizieren mehrglieriger Termee 1F Wintersemester 01/013 Unterlagen: LehrerInnenteam GFB Ein neues Problem

Mehr

8.1. Das unbestimmte Integral

8.1. Das unbestimmte Integral 8 Das unbestimmte Integral So wie ie Bilung von Reihen, also Summenfolgen, ein zur Bilung er Differenzenfolgen inverser Prozess ist, kann man ie Integration als Umkehrung er Differentiation ansehen Stammfunktionen

Mehr

Lösungshinweise zu den Hausaufgaben:

Lösungshinweise zu den Hausaufgaben: P. Engel, T. Pfrommer S. Poppitz, Dr. I. Rybak 4. Gruppenübung zur Vorlesung Höhere Mathematik Sommersemester 9 Prof. Dr. M. Stroppel Prof. Dr. N. Knarr Lösungshinweise zu en Hausaufgaben: Aufgabe H. a)

Mehr

Hardwarepraktikum WS 2001/02

Hardwarepraktikum WS 2001/02 Hardwarepraktikum W / Versuch equentielle ysteme III Gruppe 68: enise Baldauf, 474 Thomas Winter, 4778 Michael Grieswald, 496 hemnitz, den.. Aufgabenstellungen zur Vorbereitung Aufgabe >> Beschreiben ie

Mehr

Logik / Kombinatorik - Hinweise zur Lösungsfindung

Logik / Kombinatorik - Hinweise zur Lösungsfindung Logik / Kombinatorik Hinweise zur Lösungsfinung Aufgabe 1) Günstige Bezeichnungen einführen; Tabelle anfertigen un ie unmittelbaren Folgerungen aus bis eintragen (siehe linke Tabelle). Da ies noch nicht

Mehr

Mathematik: Mag. Schmid Wolfgang Arbeitsblatt 9 1. Semester ARBEITSBLATT 9 MULTIPLZIEREN MIT MEHRGLIEDRIGEN TERMEN

Mathematik: Mag. Schmid Wolfgang Arbeitsblatt 9 1. Semester ARBEITSBLATT 9 MULTIPLZIEREN MIT MEHRGLIEDRIGEN TERMEN Mathematik: Mag. Schmi Wolfgang Areitslatt 9 1. Semester ARBEITSBLATT 9 MULTIPLZIEREN MIT MEHRGLIEDRIGEN TERMEN Ein neues Prolem ergit sich, wenn wir mehrere mehrglierige Terme 3x+ 1 4 x = miteinaner multiplizieren

Mehr

10. Vorlesung Wintersemester

10. Vorlesung Wintersemester 10. Vorlesung Wintersemester 1 Existenz von Potentialen Für einimensionale Bewegungen unter er Einwirkung einer Kraft, ie nur vom Ort abhängt, existiert immer ein Potential, a man immer eine Stammfunktion

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Lösungen zu Kapitel 6

Lösungen zu Kapitel 6 Lösungen zu Kapitel 6 Lösung zu Aufgabe : Es ist T (a) = {b b 0, b a}. Wir erhalten Es folgt un amit T (54) = {, 2, 3, 6, 9, 8, 27, 54}, T (72) = {, 2, 3, 4, 6, 8, 9, 2, 8,.24, 36, 72}. T (54) T (72) =

Mehr

Technische Universität Berlin Wintersemester 2010/11. Allgemeine Volkswirtschaftslehre 2 - Makroökonomie Wiederholung mathematischer Grundlagen

Technische Universität Berlin Wintersemester 2010/11. Allgemeine Volkswirtschaftslehre 2 - Makroökonomie Wiederholung mathematischer Grundlagen Prof. Dr. Frank Heinemann Technische Universität Berlin Wintersemester 2010/11 Allgemeine Volkswirtschaftslehre 2 - Makroökonomie Wieerholung mathematischer Grunlagen Dieses Übungsblatt enthält keine abzugebenen

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Hardwarepraktikum WS05/06

Hardwarepraktikum WS05/06 Hardwarepraktikum WS5/6 Sven Eckelmann 2..26 Inhaltsverzeichnis Versuch Komb. NANDNANDRealisierung.......................2 NORNORRealisierung.........................3 Schaltung................................

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Übung (9) . Geben Sie auch eine geometrische Deutung des Resultats an. 2 3j, e jπ7/4, 2e 4jπ/3.

Übung (9) . Geben Sie auch eine geometrische Deutung des Resultats an. 2 3j, e jπ7/4, 2e 4jπ/3. Übung (9). Drücken Sie 3 ³ b (4 a ( 5) c) aus urch a b c. Geben Sie auch eine geometrische Deutung es Resultats an.. Vereinfachen Sie: ( x 4 y) (3 y 5 x). ³ ³³ ³ 3. Vereinfachen Sie en Ausruck a 3 b 3

Mehr

Polynomfunktionen - Fundamentalsatz der Algebra

Polynomfunktionen - Fundamentalsatz der Algebra Schule / Institution Titel Seite 1 von 7 Peter Schüller peter.schueller@bmbwk.gv.at Polynomfunktionen - Funamentalsatz er Algebra Mathematische / Fachliche Inhalte in Stichworten: Polynomfunktionen, Funamentalsatz

Mehr

Das elektrische Feld als Energiespeicher

Das elektrische Feld als Energiespeicher Laungsquantelung Das elektrische Fel als Energiespeicher 79. Das elektrische Fel als Energiespeicher a) Welche Beobachtung legt nahe, ass in einem elektrischen Fel Energie gespeichert ist? b) Zeigen Sie,

Mehr

Schaltwerksanalyse-Übungen

Schaltwerksanalyse-Übungen Schaltwerksanalyse-Übungen Übung : Gegeben ist folgene Schaltung, eren Funktion zu bestimmen ist. c Ergänzen Sie as folgene Signal-Zeit-iagramm. c ie Lösung kann sehr zeitaufwenig sein, wenn man keine

Mehr

Physik 11 Das Ampersche Durchflutungsgesetz. 1. Das Magnetfeld eines stromdurchflossenen Drahtes

Physik 11 Das Ampersche Durchflutungsgesetz. 1. Das Magnetfeld eines stromdurchflossenen Drahtes 1. Das Magnetfel eines stromurchflossenen Drahtes I 1. Das Magnetfel eines stromurchflossenen Drahtes I 1. Das Magnetfel eines stromurchflossenen Drahtes I Die Fellinien es Feles eines stromurchflossenen,

Mehr

f x n ) 2 1 Gleichung (*) f' x 1 f'' x 1

f x n ) 2 1 Gleichung (*) f' x 1 f'' x 1 Das Newtonsche Näherungsverfahren, Teil Theorie - Konvergenzkriterium f x n Allgemeine Lösung: x n = x n f' x f' x n n 0 Nach er Fachliteratur (Bronstein/Semenjajew) arf man hier von einer Cauchy-Folge

Mehr

Determinanten. a e b f a c b d. b) x = , y = c) zu einem Spaltenvektor das Vielfache des anderen Spaltenvektors addiert wird,

Determinanten. a e b f a c b d. b) x = , y = c) zu einem Spaltenvektor das Vielfache des anderen Spaltenvektors addiert wird, Determinanten Wir entwickeln eine Lösungsformel für Gleichungssysteme mit zwei Variablen. ax + cy = e b bx + y = f a } abx bcy = be + abx + ay = af ya bc = af be Man schreibt y = af be a bc = a e b f analog

Mehr

Kostenfunktion - Der Cournotsche Punkt

Kostenfunktion - Der Cournotsche Punkt Kostenfunktion Seite 1 von 8 Wilfrie Rohm Kostenfunktion - Der Cournotsche Punkt Der Cournotsche Punkt C beschreibt ie gewinnmaximale Preis-Mengen-Kombination mit en Koorinaten C(p c ; x c ). Er sagt aus,

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Druckverluste in thermostatischen Heizkörperventilen

Druckverluste in thermostatischen Heizkörperventilen Drucverluste in thermostatischen Heizörerventilen Allgemeines: in Thermostatventil muss zwei eventuell bis zu vier Aufgaben erfüllen: 1. Abserrung es Heizörers,. Regelung er Raumtemeratur urch Drosselung

Mehr

Lösungen Aufgabenblatt 7 zur Spieltheorie SS 2017

Lösungen Aufgabenblatt 7 zur Spieltheorie SS 2017 Lösungen Aufgabenblatt 7 zur Spieltheorie SS 07 Aufgabe 7. Wir betrachten as folgene Spiel zwischen hungrigen Löwen i =,, : Es gibt ein Schaf, as von genau einem Löwen gefressen weren kann. Wenn ein Löwe

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

Einführung in die theoretische Physik 1

Einführung in die theoretische Physik 1 Mathey Einführung in ie theor. Physik 1 Einführung in ie theoretische Physik 1 Prof. Dr. L. Mathey Dienstag 15:45 16:45 un Donnerstag 1:45 12: Beginn: 23.1.12 Jungius 9, Hörs 2 1 Mathey Einführung in ie

Mehr

Einführung in die Mechanik Teil 4: Kinematik (4)

Einführung in die Mechanik Teil 4: Kinematik (4) SERVICE NEWSLEER Ausgabe: / 5 Im letzten eil er Serie wure bereits ie Bereitstellung von Verzerrungstensoren angekünigt. Wie as Wort bereits impliziert muss ein Maß gefunen weren, as ie Deformation es

Mehr

2.5. Umwandlung von Schaltfunktionen in die NOR und NAND Technik

2.5. Umwandlung von Schaltfunktionen in die NOR und NAND Technik .. Umwandlung on Schaltfunktionen in die NOR und NAND Technik... Smbole 0 0 0 0 0 NAND Elemente 0 0 0 0 0 0 0 NOR Elemente Beachte : Jedes NOR bzw. NAND Element hat mindestens Eingänge!... Umwandlungsorschriften

Mehr

Erste schriftliche Wettbewerbsrunde. Klasse 7

Erste schriftliche Wettbewerbsrunde. Klasse 7 Erste schriftliche Wettbewerbsrune Die hinter en Lösungen stehenen Prozentzahlen zeigen, wie viel Prozent er Wettbewerbsteilnehmer ie gegebene Lösung angekreuzt haben. Die richtigen Lösungen weren fettgeuckt

Mehr

2.4. GAUSSSCHER SATZ π ε 0 r 2. π r 2)

2.4. GAUSSSCHER SATZ π ε 0 r 2. π r 2) 2.4. GAUSSSCHER SATZ 23 2.4 Gaußscher Satz Das Fel einer Punktlaung genügt er Gleichung: E = 1 4 π ε 0 Q r 2 Desweiteren berechnet sich ie Oberfläche einer Kugel, eren Punkte vom Mittelpunkt en Abstan

Mehr

Felder und Wellen WS 2017/2018 C = U = φ(2) φ(1)

Felder und Wellen WS 2017/2018 C = U = φ(2) φ(1) Feler un Wellen WS 017/018 Musterlösung zum 6. Tutorium 1. Aufgabe (**) Kapazität kann für jee beliebige Leiteranornung efiniert weren C = εe = f E s s }{{} φ() φ(1) Sin mehrere Leiter vorhanen, befinen

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

1. Tangente, Ableitung, Dierential

1. Tangente, Ableitung, Dierential 1. Tangente, Ableitung, Dierential Variablen un Funktionen 1.1. Verallgemeinern Sie ie folgenen Gruppen von Gleichungen mithilfe von Variablen. (1) 5 + 3 = 3 + 5, 1 2 = 2 + 1. (2) 3 2 + 5 2 = (3 + 5) 2,

Mehr

1. Probeklausur. φ = 2x 2 y(z 1).

1. Probeklausur. φ = 2x 2 y(z 1). Übungen zur T: Theoretische Mechanik, SoSe04 Prof. Dr. Dieter Lüst Theresienstr. 37, Zi. 45. Probeklausur Dr. Reinke Sven Isermann Reinke.Isermann@lmu.e Übung.: Gegeben sei ie Funktion φ = x y z. a Berechnen

Mehr

Solution Hints to Exercise Sheet 11

Solution Hints to Exercise Sheet 11 Avance algebra Homological algebra an representation theory Wintersemester 24/5 Prof. C. Schweigert Algebra an Number Theory Department of Mathematics University Hamburg Aufgabe Solution Hints to Exercise

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Serie 6 - Funktionen II + Differentialrechnung

Serie 6 - Funktionen II + Differentialrechnung Analysis D-BAUG Dr. Meike Akvel HS 05 Serie 6 - Funktionen II + Differentialrechnung. a) Sei Lösung 3, falls < 0, f : R R, f) c +, falls 0, + 8, falls >. Bestimmen Sie c R un R, so ass f überall stetig

Mehr

Aufgaben zum Wochenende (2)

Aufgaben zum Wochenende (2) Aufgaben zum Wochenene () Alle Koorinatensysteme seien kartesisch.. Berechnen Sie zu a =(, 3, ) un b =(,, ), c =(, 3, ) : a 3, 4 a b, b ( a c), a 4 b ( ) c. Rechnen Sie möglichst praktisch.. Lösen Sie

Mehr

Technisches Lemma aus der Linearen Algebra

Technisches Lemma aus der Linearen Algebra echnisches Lemma aus er Linearen Algebra Lemma. Sei t A(t) Mat(n, n) eine glatte, matrixwertige Funktion auf em Intervall ( ε,ε), welche A(t) = I erfülle. Dann gilt: t et(a(t)) t=0 = trace(ȧ(0)). Beispiel.

Mehr

= 1 und der Ladung Q aufgefasst. Die elektrische Feldstärke beträgt 1, N/C, so dass die Entladung durch einen Blitz unmittelbar bevorsteht.

= 1 und der Ladung Q aufgefasst. Die elektrische Feldstärke beträgt 1, N/C, so dass die Entladung durch einen Blitz unmittelbar bevorsteht. Aufgaben Konensator 57. Zwei kreisförmige Metallplatten mit em Raius 0 cm, ie parallel im Abstan von 0 cm angeornet sin, bilen einen Plattenkonensator. In er Mitte zwischen en Platten hängt an einem ünnen

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Leibnizschule Hannover

Leibnizschule Hannover Leibnizschule Hannover - Seminararbeit - Schleppkurven J D Schuljahr: 2011 Fach: Mathematik Inhaltsverzeichnis 1 Einleitung: Die Schleppkurve un ihre Anwenung 2 2 Erarbeitung eines Verfahrens zur Berechnung

Mehr

mathphys-online Aufgaben zur Differentialrechnung - Lösung Tangentenaufgaben Aufgabe 1 Definition des Feldindex in Vektoren und Matrizen: ORIGIN 1

mathphys-online Aufgaben zur Differentialrechnung - Lösung Tangentenaufgaben Aufgabe 1 Definition des Feldindex in Vektoren und Matrizen: ORIGIN 1 Aufgaben zur Differentialrechnung - Lösung Tangentenaufgaben Definition es Felinex in Vektoren un Matrizen: ORIGIN Aufgabe Gegeben ist ie Funktion f mit em Funktionsterm f( x) = x x, wobei x IR. a) Bestimmen

Mehr

Beispiellösungen zu Blatt 6

Beispiellösungen zu Blatt 6 µathematischer κorresponenz- zirkel Mathematisches Institut Georg-August-Universität Göttingen Aufgabe 1 Beispiellösungen zu Blatt 6 Gibt es eine Quaratzahl, eren Quersumme 6 ist? Hinweis: Die Quersumme

Mehr

Übungsklausur Lineare Algebra I - Wintersemester 2008/09

Übungsklausur Lineare Algebra I - Wintersemester 2008/09 1 Übungsklausur Lineare Algebra I - Wintersemester 008/09 Teil 1: Multiple Choice (1 Punkte Für ie ganze Klausur bezeichne K einen beliebigen Körper. 1. Welche er folgenen Aussagen sin ann un nur ann erfüllt,

Mehr

Halbleiter. Differenzieller Widerstand

Halbleiter. Differenzieller Widerstand Scnces Cologne Dipl.-ng. (FH) Dipl.-Wirt. ng. (FH) G. Danlak Differenzller Wierstan DW- Stan: 9.3.6; m Steigung einer Funktion in einem Punkt x zu ermitteln, bestimmt man ihren Differenzialuotnten. Das

Mehr

Explizite und Implizite Darstellung einer Funktion

Explizite und Implizite Darstellung einer Funktion Eplizite un Implizite Darstellung einer Funktion Für ie implizite Differentiation weren ie Begriffe implizite un eplizite Darstellung von Funktionen benötigt. Bisher haben wir eine Funktion (Zusammenhang

Mehr

Musterlösung zur Einsendearbeit zum Kurs Preisbildung auf unvollkommenen Märkten und allgemeines Gleichgewicht, Kurseinheit 1

Musterlösung zur Einsendearbeit zum Kurs Preisbildung auf unvollkommenen Märkten und allgemeines Gleichgewicht, Kurseinheit 1 E zu KE 1, SS 2010 Seite 1 Musterlösung zur Einsenearbeit zum Kurs 42110 Preisbilung auf unvollkommenen Märkten un allgemeines Gleichgewicht, Kurseinheit 1 Die folgene Lösungsskizze soll Ihnen einen nhaltspunkt

Mehr

Differential- und Integralrechnung

Differential- und Integralrechnung Universität Paerborn, en 16.07.2007 Differential- un Integralrechnung Ein Repetitorium vor er Klausur Kai Gehrs 1 Übersicht Inhaltlicher Überblick: I. Differentialrechnung I.1. Differenzierbarkeit un er

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

8. Energie, Impuls und Drehimpuls des elektromagnetischen

8. Energie, Impuls und Drehimpuls des elektromagnetischen 8. Energie, Impuls un Drehimpuls es elektromagnetischen Feles 8.1 Energie In Abschnitt.5 hatten wir em elektrostatischen Fel eine Energie zugeornet, charakterisiert urch ie Energieichte ω el ɛ 0 E. (8.1

Mehr

Q C U C U Q C U C U. gilt dann: Q Q Q Q C U C U C U C C C U C U. Ges Ges. Ges n

Q C U C U Q C U C U. gilt dann: Q Q Q Q C U C U C U C C C U C U. Ges Ges. Ges n .6 chaltung von Konensatoren. Parallelschaltung von Konensatoren Bei er Parallelschaltung ist ie an en Konensatoren anliegene pannung konstant. s gilt: Die Konensatorgleichung Q C liefert ie sich auf en

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

6 Lineare Kongruenzen

6 Lineare Kongruenzen 6 Lineare Kongruenzen Sei m > 0 un a, b beliebig. Wir wollen ie Frage untersuchen, unter welchen Beingungen an a, b un m eine Zahl x 0 existiert, so aß ax 0 b mo m. Wenn ein solches x 0 existiert, sagen

Mehr

a) b) Abb. 1: Buchstaben

a) b) Abb. 1: Buchstaben Hans Walser, [20171019] Magische Quarate ungeraer Seitenlänge nregung: uler (1782) 1 Worum geht es? Zu einer gegebenen ungeraen Zahl u wir ein magisches Quarat mit er Seitenlänge u konstruiert. 2 as Vorgehen

Mehr

Themenkatalog. Mathe-Party Fulda 1 Wintersemester 2016/17

Themenkatalog. Mathe-Party Fulda 1 Wintersemester 2016/17 Themenkatalog Mengenlehre Aussagenlogik Relationen Funktionen Vollstänige Inuktion Folgen Reihen Grenzwerte Funktionseigenschaften Differentialrechnung Integralrechnung Mathe-Party Fula Wintersemester

Mehr

2. Funktionen und Entwurf digitaler Grundschaltungen

2. Funktionen und Entwurf digitaler Grundschaltungen 2. Funktionen und Entwurf digitaler Grundschaltungen 2.1 Kominatorische Schaltungen Kombinatorische Schaltungen - Grundlagen 1 Grundgesetze der Schaltalgebra UND-Verknüpfung ODER-Verknüpfung NICHT-Verknüpfung

Mehr

Differentialrechnung

Differentialrechnung Differentialrechnung Um Funktionen genauer zu untersuchen bzw. sie zu analysieren, ist es notwenig, etwas über ihren Verlauf, as qualitative Verhalten er Funktion, sagen zu können. Das heisst, wir suchen

Mehr

2. Musterlösung. Problem 1: Das Postamtplatzierungsproblem ** = min w i ( x p x i ) + w i ( y p y i ) i=1. w i + w m w i. 0 wegen (3) w m+1 m,m+1

2. Musterlösung. Problem 1: Das Postamtplatzierungsproblem ** = min w i ( x p x i ) + w i ( y p y i ) i=1. w i + w m w i. 0 wegen (3) w m+1 m,m+1 Universität Karlsruhe Algorithmentechnik Fakultät für Informatik WS 05/06 ITI Wagner 2. Musterlösung Problem 1: Das Postamtplatzierungsproblem ** Sei OE x 1 x 2 x n. Gesucht ist ein Punkt p = (x, y) mit

Mehr

Mathematik III. Vorlesung 87. Die äußere Ableitung

Mathematik III. Vorlesung 87. Die äußere Ableitung Prof. Dr. H. Brenner Osnabrück WS 2010/2011 Mathematik III Vorlesung 87 Die äußere Ableitung In ieser Vorlesung weren wir ein neuartiges mathematisches Objekt kennenlernen, ie sogenannte äußere Ableitung.

Mehr

1 Lokale Umkehrbarkeit und implizite Funktionen

1 Lokale Umkehrbarkeit und implizite Funktionen Karolina Stoiber Aileen Wolf Ferienkurs Analysis 2 für Physiker SS 2016 A 1 Lokale Umkehrbarkeit un implizite Funktionen In iesem Kapitel weren Kriterien vorgestellt, wann eine Funktion umkehrbar ist oer

Mehr

Übungen zur Einführung in die Physik II (Nebenfach)

Übungen zur Einführung in die Physik II (Nebenfach) Übungen zur Einführung in ie Physik Nebenfach --- Muserlösung --- Aufgabe: Konensaorenlaung Ein mi Glimmer ε r = 8 gefüller Plaenkonensaor mi er Fläche A=6 cm un einem Plaenabsan = 5 μm enlä sich wegen

Mehr

LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN. 2. Übung/Lösung Mathematik für Studierende der Biologie

LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN. 2. Übung/Lösung Mathematik für Studierende der Biologie LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN FAKULTÄT FÜR BIOLOGIE Prof. Anreas Herz, Dr. Stefan Häusler email: haeusler@biologie.uni-muenchen.e Department Biologie II Telefon: 089-80-74800 Großhaernerstr. Fa:

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

2.5 Kondensatoren und Feldenergie

2.5 Kondensatoren und Feldenergie 30 KAPITEL 2. ELEKTOSTATIK 2.5 Konensatoren un Felenergie Aus en echnungen für eine unenlich ausgeehnte Platte mit homogener Laungsichte, ie wir in en Abschnitten 2.2 un 2.4 vorgenommen haben, können wir

Mehr

D U A L - S Y S T E M. DOS für Einsteiger

D U A L - S Y S T E M. DOS für Einsteiger D U A L - S Y S T E M VHS-Kurs von Uwe Koch Das DUAL-System Uwe Koch Seite 1 Zur Darstellung von Zahlen gibt es verschieene Zahlensysteme. So unterscheiet man zunächst zwischen Aitionssystemen un Stellenwertsystemen.

Mehr

Einführung in die Chaostheorie

Einführung in die Chaostheorie Einführung in ie Chaostheorie Die sogenannte Chaostheorie befasst sich mit er Erforschung nichtlinearer ynamischer Systeme, ie chaotisches Verhalten zeigen können. Chaotisches Verhalten liegt u.a. ann

Mehr

1 Verbindungsleitungen

1 Verbindungsleitungen 1 Verbinungsleitungen Für ie Funktion aller elektronischen Schaltungen sin Verbinungsleitungen zischen en Bauelementen unverzichtbar. Ihre Aufgabe ist es, Signale von einem Baustein zum nächsten zu transportieren.

Mehr

PC & Mac Education Ltd W01GL1DM

PC & Mac Education Ltd  W01GL1DM 388 sin nützliche Helfer, um Text oer Zahlen millimetergenau untereinaner auszurichten un so kleine Aufstellungen zu gestalten: mit em Tabstopp efinieren Sie eine Position in er Horizontalen, an welcher

Mehr

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell: inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Abschlussaufgabe Nichttechnik - A II - Lösung

Abschlussaufgabe Nichttechnik - A II - Lösung GS - 7 - m_nta_lsgmc Abschlussaufgabe - Nichttechni - A II - Lösung Gegeben ist ie relle Funtion f ( x) x = x mit IR > un ID f = IR Der Graph wir mit G f bezeichnet Bestimmen Sie Lage un Vielfachheit er

Mehr

Übungen zum Ferienkurs Theoretische Mechanik

Übungen zum Ferienkurs Theoretische Mechanik Übungen zum Ferienkurs Theoretische Mechanik Lagrange un Hamilton Mechanik Übungen, ie mit einem Stern markiert sin, weren als besoners wichtig erachtet. 2.1 3D Faenpenel Betrachten Sie ein Faenpenel er

Mehr

MS Michelson-Interferometer

MS Michelson-Interferometer MS Michelson-Interferometer Blockpraktikum Herbst 2007 (Gruppe 2b) 24. Oktober 2007 Inhaltsverzeichnis 1 Grunlagen 2 1.1 Aufbau.................................... 2 1.2 Interferenzmuster...............................

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

hat. Dann hat zumindest die dritte Ableitung ebenfalls die Nullstelle x 0.

hat. Dann hat zumindest die dritte Ableitung ebenfalls die Nullstelle x 0. Differentialrechnung Graphen mit Flachpunkt un Wenepunkt Quelle: Akaemiebericht Theorie Es gibt Funktionen, eren zweite Ableitung eine mehrfache Nullstelle x 0 hat. Dann hat zuminest ie ritte Ableitung

Mehr

1.1.8 Radialsymmetrisches elektrisches Feld, Coulomb-Gesetz; Kapazität des Kugelkondensators

1.1.8 Radialsymmetrisches elektrisches Feld, Coulomb-Gesetz; Kapazität des Kugelkondensators 8 Raialsymmetrisches elektrisches Fel, Coulomb-Gesetz; Kapazität es Kugelkonensators Die Felstärke im raialen Fel - as Coulombsche Gesetz Am Ene es letzten Kapitels wure ie Grungleichung es elektrischen

Mehr

1 Lokale Umkehrbarkeit und implizite Funktionen

1 Lokale Umkehrbarkeit und implizite Funktionen Christina Schinler Karolina Stoiber Ferienkurs Analysis 2 für Physiker SS 2013 A 1 Lokale Umkehrbarkeit un implizite Funktionen In iesem Kapitel weren Kriterien vorgestellt, wann eine Funktion umkehrbar

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Der Taschenrechner CAS: TI Inspire (Texas Instruments)

Der Taschenrechner CAS: TI Inspire (Texas Instruments) Der Taschenrechner (Texas Instruments) Übersicht: 1. Katalog (wichtige Funktionen un wie man sie aufruft) 2. Funktionen efinieren (einspeichern mit un ohne Parameter) 3. Nullstellen 4. Gleichungen lösen

Mehr

Adjungierter Differentialoperator. Verallgemeinter Greenscher Satz

Adjungierter Differentialoperator. Verallgemeinter Greenscher Satz Kapitel 4 Ajungierter Differentialoperator. erallgemeinter Greenscher atz Bei Ranwertproblemen muss as el oer allgemeiner ie unktionen, ie en physikalischen organg beschreiben) im Inneren es Bereiches

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

mathphys-online Umkehrfunktionen Aufgabe 1 1 Gegeben ist die Funktion f mit f( x) 2 x 1 und x [ 0.5 ; 4 [.

mathphys-online Umkehrfunktionen Aufgabe 1 1 Gegeben ist die Funktion f mit f( x) 2 x 1 und x [ 0.5 ; 4 [. Umkehrfunktionen Aufgabe Gegeben ist ie Funktion f mit f( ) un [ 0. ; [. a) Bestimmen Sie ie Wertemenge un tragen Sie en Graphen von f in as Koorinatensystem ein. Kennzeichnen Sie Definitionsmenge (grün)

Mehr

da U E d W. Stark; Berufliche Oberschule Freising W12 U12

da U E d W. Stark; Berufliche Oberschule Freising  W12 U12 .4 Zusammenhang von elektrischer Felstärke un Spannung eines Plattenkonensators n ie positive Platte eins Konensators, er mit einer Stromquelle er Spannung verbunen ist, wir ein zunächst elektrisch neutrales

Mehr

Cluster 1: Kabelverlauf

Cluster 1: Kabelverlauf Teil B Seite 1 / 6 Doris Schönorfer Cluster 1: Kabelverlauf zum Menü Hinweis: Cluster 1 bezieht sich auf Höhere Technische Lehranstalten (HTL) für ie Ausbilungsrichtungen Bautechnik, Holztechnik & Innenraumgestaltung

Mehr

CC80. Engineering Test Report. Test Date: 17. März 2005 Test Engineer: Michael Conrad

CC80. Engineering Test Report. Test Date: 17. März 2005 Test Engineer: Michael Conrad CC80 Engineering Test Report Test Date: 17. März 2005 Test Engineer: Michael Conra INHALTSVERZEICHNIS Einführung 3 THD bei +6 u 4 THD bei 0 u 5 THD bei -6 u 6 Rauschen un IGM 7 Rauschen bei CI-D/A-Wanler

Mehr

Modellierung terrestrischer Ökosysteme

Modellierung terrestrischer Ökosysteme Moellierung terrestrischer Ökosysteme Lektion 1: Einführung, Globale Probleme Potsam Institut für Klimafolgenforschung Eigenschaften von Ökosystemen Die Ökologie untersucht... Wechselwirkungen un Wechselbeziehungen

Mehr

Physik LK 12, Klausur 04 Induktion - Lösung

Physik LK 12, Klausur 04 Induktion - Lösung Physik LK 12, Klausur 4 Inuktion - Lösung 2.5.211 Die echnungen bitte vollstänig angeben un ie Einheiten mitrechnen. ntwortsätze schreiben, wenn Zahlenwerte zu berechnen sin. Die eibung ist bei allen ufgaben

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

15 Differentialrechnung in R n

15 Differentialrechnung in R n 36 15 Differentialrechnung in R n 15.1 Lineare Abbilungen Eine Abbilung A : R n R m heißt linear falls A(αx + βy) = αa(x) + βa(y) für alle x, y R n un alle α, β R. Man schreibt oft Ax statt A(x) un spricht

Mehr

Lk Mathematik in 12/2 1. Klausur Blatt 1 (von 2)

Lk Mathematik in 12/2 1. Klausur Blatt 1 (von 2) Blatt 1 (von 2) 4 BE 1. Glücksspiel Für ein Casino soll ein Glücksspiel entworfen weren. Bei einem festen Einsatz soll en Spielern ein zufallsabhängiger Betrag ausbezahlt weren. Erläutere, welche Anforerungen

Mehr

Fehlerrechnung mit Hilfe der Differentialrechnung

Fehlerrechnung mit Hilfe der Differentialrechnung HTBLA Neufelen Fehlerrechnung mit Hilfe er Differentialrechnung Seite von 9 Peter Fischer pe.fischer@atn.nu Fehlerrechnung mit Hilfe er Differentialrechnung Mathematische / Fachliche nhalte in Stichworten:

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr