Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Größe: px
Ab Seite anzeigen:

Download "Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs"

Transkript

1 Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5, , spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt C spez. Wärme c J/(gK) 0,7 0,35 Wärmeleitfähigkeit κ W/(cmK) 1,41 0,455 Intrinsische Dichte n i cm 3 1, , Eigenleitfähigkeit σ i S/cm 4, Relative 12(Si) Dielektrizitätskonstante ε r 3,92(SiO 2 ) Bandabstand W g ev 1,12 1,43 eff. Masse Elektronen m n 0,33 m 0 0,067 m 0 Löcher m p 0,56 m 0 0,5 m 0 Diffussionskonstante Elektronen D n cm 2 /s Löcher D p cm 2 /s 12,5 12 Austrittspotential Elektronen φ V 3,78 3,57 Beweglichkeit bei schwacher Dotierung Elektronen µ n cm 2 /Vs Löcher µ p cm 2 /Vs eff. Zustandsdichte Elektronen N L cm 3 2, , Löcher N V cm 3 1, , Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben.

2 Physikalische Konstanten Konstante Temperaturspannung Elementarladung Boltzmann-Konstante Symbol, Wert und Einheit U T = k T e = 25,9 mv e = 1, As ( T ) 300K k = 8, ev/k = 1, J/K Ruhemasse des Elektrons m 0 = 9, kg = 0, VAs 3 /cm 2 Dielektrizitätskonstante des Vakuums ε 0 = 8, As/Vcm Planck sches Wirkungsquantum h = 6, Ws 2 bzw. h = h/2π = 1, Js

3 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) CMOS-/Pseudo-NMOS-Inverter Es sind folgende Technologie-Parameter bekannt: Versorgungsspannung U DD = 1,2 V Gateoxid-Dicke t ox = 2 nm Rel. Dielektr.-konstante d. Gateoxids ε r,ox = 4 Dielektr.-konstante ε 0 = 8, As/Vm Schwellenspannungen U th,n = U th,p = 0,4 V Beweglichkeit der Elektronen u. Löcher µ n = 1200 cm 2 /Vs, µ p = 400 cm 2 /Vs 1.1 a) Ergänzen Sie den Querschnitt des CMOS-Inverters in Abb Geben sie die Dotierungen (n, p, n+, p+) an und beschriften Sie alle Anschlüsse (G, D, S, U DD, U SS, U E, U A ). p-substrat -kanal Abb. 1.1: CMOS-Inverter Querschnitt -kanal b) Aus welchen Komponenten setzt sich die Verlustleistung bei Schaltvorgängen zusammen? Integrierte Digitalschaltungen H10 - Seite 3 von 19

4 1 c) Bestimmen Sie den gesamten Leistungsverbrauch des CMOS-Inverters aus Abb Gegeben: L p = L n = W n = 0,1 µm, Wp W n = 3, f = 1 = 100 MHz, T t r = t f = 10 ps, C L = 2 af U DD 0 t r t f T C L Abb. 1.2: CMOS-Inverter Schaltung d) Im Folgenden wird ein Pseudo-NMOS-Inverter betrachtet. Zeichnen Sie das Schaltbild eines Pseudo-NMOS-Inverters und beschriften Sie die Anschlüsse (U DD, GND, U E und U A )! Integrierte Digitalschaltungen H10 - Seite 4 von 19

5 1 e) Bestimmen Sie die Arbeitsbereiche des n- und p-kanal Transistors im Pseudo-NMOS-Inverter für U E = U A = U DD. Begründen Sie Ihre Antwort! 2 f) Berechnen Sie das Kanalweitenverhältnis Wn W p mit dem ein symmetrisches Schaltverhalten im Pseudo-NMOS-Inverter erzeugt wird! Die Kanallängen des n- und p-kanal Transistors sind identisch und entsprechen der minimalen Kanallänge. Integrierte Digitalschaltungen H10 - Seite 5 von 19

6 1 1.2 MOSFET: In den Unteraufgaben a) und b) sind MOS-Feldeffekttransistoren und die Potentiale an deren Anschlüssen gegeben. Bestimmen Sie jeweils den Transistortyp (n- oder p-kanal), die Spannungen U GS und U DS und den Arbeitsbereich (gesperrt, linear, abgeschnürt) und tragen diese in die dafür vorgesehenen Tabellen ein! Hinweis: U th,n = U th,p = 0,4 V a) b) 1,3 V 1,2 V 0,6 V 0 V 0,3 V 1,5 V 1,5 V 0,7 V Transistortyp: Transistortyp U GS U GS = U DS U DS = Arbeitsbereich Arbeitsbereich: Transistortyp: U GS = U DS = Arbeitsbereich: Integrierte Digitalschaltungen H10 - Seite 6 von 19

7 2 Aufgabe 2: CMOS-Logik (25 Punkte) 2.1 In diesem Aufgabenpunkt sollen Varianten eines 2-Bit Gray-Codierers untersucht werden. Dieser codiert eine digital gespeicherte Zahl, so dass sich zwischen zwei benachbarten Zahlen nur noch ein Bit ändert. Hierdurch kann der Effekt von Bitfehlern minimiert werden. Die Wahrheitstabelle des Gray-Codierers ist in Abb. 2.1 dargestellt. Zahl E 1 E 0 Q 1 Q Abb. 2.1: Wahrheitstabelle eines 2-Bit Gray-Codierers a) Geben Sie die vereinfachten logischen Gleichungen des Gray-Codierers an! b) Zeichnen Sie die CMOS-Schaltung des Gray-Codierers auf Transistorebene! Sie können hierbei annehmen, dass die invertierten Eingangssignale zur Verfügung stehen. c) Auf einem programmierbaren Logikbaustein stehen nur NAND-Gatter zur Realisierung des Gray-Codierers zur Verfügung. Formen Sie die logischen Gleichungen des Gray-Codierers so um, dass nur NAND-Gatter und Inverter zur Realisierung benötigt werden! d) Zeichnen Sie das Schaltbild des Gray-Codierers! Benutzen Sie nur NAND-Gates! Nehmen Sie hierfür an, dass keine invertierten Eingangssignale zur Verfügung stehen! Hinweis: A = A A e) Geben Sie die minimale Anzahl der Logik-Transistoren an, die in verschiedenen Schaltungsvarianten für einen Gray-Codierer benötigt werden! Vervollständigen Sie hierzu die folgende Tabelle! Die Transistoren für die Invertierung der Eingangssignale sind hierbei bereits angegeben, d.h. die Invertierung muss nicht weiter berücksichtigt werden. CMOS-NAND- Transmission Komponente Transistortyp CMOS DCVSL Realisierung Gate-Logik Inverter n-mos p-mos Logik n-mos 8 p-mos 2 Integrierte Digitalschaltungen H10 - Seite 7 von 19

8 2 f) Geben Sie die benötigte Fläche für die in Aufgabenpunkt 2.1e) behandelten Schaltungsvarianten an! Berücksichtigen Sie sowohl Logik- als auch Inverter- Transistoren. Für die Fläche der n- bzw p-transistoren gilt: A n = 800 nm 2, A p = 2000 nm 2 Abb. 2.2: CMOS-Schaltung 2.2 Gegeben ist das Pull-Up-Netzwerk einer CMOS-Schaltung in Abb Für die Eingangskapazität der nachfolgenden Schaltung gelte C L = 50 ff. Verwenden Sie die Näherungen des einfachen RC-Modells mit folgenden Parametern: C GS,n = C GD,n = 1 2 C ox,n = 10 ff C GS,p = C GD,p = 1 2 C ox,p = 15 ff C DB,p = C SB,p = C DB,n = C SB,n 0 a) Zeichnen Sie das zugehörige Pull-Down-Netzwerk in Abb. 2.2 ein! b) Benennen Sie alle Knoten! Geben Sie die logischen Pegel der Knoten für die Zustände Z 1 = (A 1,B 1,C 1 ) = (1,0,0) und Z 2 = (1,1,0) an! Integrierte Digitalschaltungen H10 - Seite 8 von 19

9 2 c) Berechnen Sie die effektiv wirksamen Kapazitäten des Ausgangs Q und aller Knoten für den Zustandswechsel von Z 1 zu Z 2! d) Das Pull-Up-Netzwerk soll so modifiziert werden, dass die Umladezeit t HL für den Zustandswechsel von Z 1 zu Z 2 minimiert wird. Zeichnen Sie die resultierende Schaltung! Verändern Sie dabei weder Schaltungsfunktion noch Schaltungsart! Integrierte Digitalschaltungen H10 - Seite 9 von 19

10 3 Aufgabe 3: Schaltwerke und Speicher (25 Punkte) 3.1 Master-Slave-D-Flip-Flop a) Zeichnen Sie ein beliebiges statisches Master-Slave-D-Flip-Flop auf Transistorebene! Kennzeichnen Sie alle Ein- und Ausgänge! b) Was ist der Unterschied zwischen einem Latch und einem Flip-Flop? Kennzeichnen Sie alle auftretenden Latches in dem vorherigen Aufgabenpunkt! Integrierte Digitalschaltungen H10 - Seite 10 von 19

11 3 c) Ergänzen Sie das Diagramm aus Abb. 3.1 um die Ausgangssignale Q Master und Q Slave! Das eingesetzte Flip-Flop ist positiv flankengesteuert! Des Weiteren ist das Flip-Flop ideal. Es treten somit keine Verzögerungszeiten auf. CLK 1 0 D Master Q Master Q Slave 1 0 t Abb. 3.1: Zeitlicher Verlauf der Signale an einem Master-Slave-D-Flip-Flop d) Welche zeitlichen Bedingungen müssen eingehalten werden, damit ein Latch ein Eingangssignal sicher speichern kann? e) Mit welcher maximalen Taktfrequenz f CLK kann ein Master-Slave-D-Flip-Flop betrieben werden, wenn folgende Werte gegeben sind? 1.) t c q =0,5 ns, t su = t hold = 0,1 ns 2.) t c q =0,1 ns, t su = 0,3 ns, t hold = 50 ps Integrierte Digitalschaltungen H10 - Seite 11 von 19

12 3 f) Drei aufeinanderfolgende Logikblöcke L1, L2 und L3 sollen in eine Pipelining- Struktur eingebaut werden, die mit einem Takt von 1 GHz getaktet ist. Zur Verfügung stehen die Master-Slave-D-Flip-Flops aus dem vorherigen Aufgabenpunkt. Berechnen Sie die Möglichkeit die Flip-Flops mit den Logikgattern zu kombinieren! Zeichnen Sie eine mögliche Pipelining Struktur auf! Benutzen Sie dafür eine minimale Anzahl an Flip-Flops. Die Kenndaten der Logikblöcke sind t p,l1 = 200 ps, t p,l2 = 100 ps und t p,l3 = 500 ps! 1.) Verwendung des Master-Slave-D-Flip-Flops mit den Kenndaten: t c q =0,5 ns, t su = t hold = 0,1 ns 2.) Verwendung des Master-Slave-D-Flip-Flops mit den Kenndaten: t c q =0,1 ns, t su = 0,3 ns, t hold = 50 ps 3.2 Allgemeine Fragen zu Floating Gate Transistoren. a) In welchen Speichertypen werden Floating Gate Transistoren eingesetzt? Nennen Sie drei unterschiedliche Speichertypen! Integrierte Digitalschaltungen H10 - Seite 12 von 19

13 3 b) Zeichnen Sie den physikalischen Querschnitt eines n-kanal Floating Gate Transistors und bezeichnen Sie die Dotierungen und Kontakte! c) Der Floating Gate Transistor soll eine logische 1 speichern. Ergänzen Sie die Zeichnung aus dem vorherigen Aufgabenpunkt, so dass klar wird, das eine logische 1 gespeichert wurde. Hinweis Der logische Zustand 1 entspricht einem sperrenden Transistor. Integrierte Digitalschaltungen H10 - Seite 13 von 19

14 4 Aufgabe 4: VHDL und Hochintegration (25 Punkte) 4.1 Bestimmen Sie für die folgenden VHDL-Codeausschnitte den Wert des Signals X. Die Signale A, B und C sind vom Typ std_logic und besitzen die Werte: A= 1, B= 0 und C= 0. a) X <= (C and B) or (A and (B xor C)); X = b) process(a, B, C) begin if A = 0 then X <= 0 ; else X <= (A xor C) or (B and C); end if; end process; X = c) X <= A or ((B and (not (C))) xor (A and (not (B)))); X = Integrierte Digitalschaltungen H10 - Seite 14 von 19

15 4 4.2 a) Vervollständigen Sie den Quellcode der Entity MUX des in Abb. 4.1 dargestellten 2:1 1-Bit Multiplexer! Entity MUX is port( -- Vervollständigen Sie die Entity hier! Abb. 4.1: Komponente MUX ); end MUX; b) Bei einem 2:1 Multiplexer führt der select Wert SEL von 0 zu einer Verbindung zwischen D0 und dem Ausgang, während ein Wert von 1 den Eingang D1 und den Ausgang verbindet. Vervollständigen Sie die Wahrheitstabelle des 2:1 Multiplexer in Abb. 4.2! D1 D0 SEL X Abb. 4.2: Wahrheitstabelle für das 2:1 Multiplexer. Integrierte Digitalschaltungen H10 - Seite 15 von 19

16 4 c) Geben Sie die vereinfachten logischen Gleichungen für den Ausgang X unter Benutzung des Karnaugh-Veitch-Diagramms an! X = d) Zeichnen Sie das Schaltbild des 2:1 Multiplexers unter Benutzung der in Abb. 4.3 dargestellten Komponenten! Abb. 4.3: Zur Verfügung stehende Gatter. Integrierte Digitalschaltungen H10 - Seite 16 von 19

17 4 e) Vervollständigen Sie den folgenden Quellcode indem Sie die Funktion des 2:1 Multiplexers als Datenflussbeschreibung einfügen! architecture dataflow1 of MUX is begin -- Fügen Sie die Datenflussbeschreibung hier ein! end dataflow1; f) Gegeben ist das in Abb. 4.4 dargestellte D-FlipFlop mit Synchronen Reset- Eingang. Vervollständigen Sie den Quellcode indem Sie die Verhaltensbeschreibung des D-FlipFlops einfügen. entity DFF is port ( D, CLK, Reset : in std_logic; Q : out std_logic); end entity DFF; architecture verhalten of DFF is Abb. 4.4: Komponente DFF begin -- Fügen Sie die Verhaltensbeschreibung hier ein! end architecture verhalten; Integrierte Digitalschaltungen H10 - Seite 17 von 19

18 4 g) Das D-FlipFlop und der 2:1 Multiplexer werden zu der in Abb. 4.5 dargestellten Schieberegister-Zelle (RegCell) verschaltet. Vervollständigen Sie den Quellcode indem Sie die Strukturbeschreibung der RegCell-Komponente einfügen! entity RegCell is port ( SHIFT, SI, CLK, Reset : in std_logic; Q : out std_logic); end entity RegCell; architecture struktur of RegCell is begin end struktur; Integrierte Digitalschaltungen H10 - Seite 18 von 19

19 4 Abb. 4.5: Komponente RegCell Integrierte Digitalschaltungen H10 - Seite 19 von 19

20 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) CMOS-/Pseudo-NMOS-Inverter 1.1 a) Ergänzen Sie den Querschnitt des CMOS-Inverters in Abb Geben sie die Dotierungen (n, p, n+, p+) an und beschriften Sie alle Anschlüsse (G, D, S, U DD, U SS, U E, U A ). S(U p DD) G(U) S n(gnd) p E D(U) p A D(U) n A G(U) n E p+ p+ n+ n+ p -kanal p-substrat n -kanal Abb. 1.1: CMOS-Inverter Querschnitt b) Aus welchen Komponenten setzt sich die Verlustleistung bei Schaltvorgängen zusammen? Dynamische Verlustleistung Verlustleistung durch Querströme Integrierte Digitalschaltungen H10 - Seite 1 von 17

21 1 c) Bestimmen Sie den gesamten Leistungsverbrauch des CMOS-Inverters aus Abb Gegeben: L p = L n = W n = 0,1 µm, Wp W n = 3, f = 1 = 100 MHz, T t r = t f = 10 ps, C L = 2 af U DD 0 t r t f T C L Abb. 1.2: CMOS-Inverter Schaltung P ges = P Q + P dyn = k 12 (U DD 2U th,n ) 3 τ T + C L f U 2 DD k = k n = µ nε r ε 0 t ox Wn L n = 2,12 ma V 2 P Q = ma 2,12 V 2 (1,2 V 0,8 V) 3 10 ps 100 MHz = 11,31 nw 12 P dyn = 2 af 100 MHz (1,2 V) 2 = 288 nw P ges = 11,31 nw nw = 299,31 nw d) Im Folgenden wird ein Pseudo-NMOS-Inverter betrachtet. Zeichnen Sie das Schaltbild eines Pseudo-NMOS-Inverters und beschriften Sie die Anschlüsse (U DD, GND, U E und U A )! U DD GND G S B D U A U E G D B S GND Integrierte Digitalschaltungen H10 - Seite 2 von 17

22 1 e) Bestimmen Sie die Arbeitsbereiche des n- und p-kanal Transistors im Pseudo-NMOS-Inverter für U E = U A = U DD. Begründen Sie Ihre Antwort! 2 n-kanal: U GS = U DD 2, U DS = U DD 2 U DS > U DS,sat = U GS U th,n abgeschnürt p-kanal: U GS = U DD, U DS = U DD 2 U DS < U DS,sat = U GS U th,p linear f) Berechnen Sie das Kanalweitenverhältnis Wn W p mit dem ein symmetrisches Schaltverhalten im Pseudo-NMOS-Inverter erzeugt wird! Die Kanalweiten des n- und p-kanal Transistors sind identisch und entsprechen der minimalen Kanallänge. symmetrisches Schaltverhalten U E = U A = U DD 2 n-kanal: abgeschnürt p-kanal: linear k n I D,n = I D,p [ (U GS,p U th,p ) U DS,p 1 2 U2 DS,p 2 (U GS,n U th,n ) 2 = k p ( ) 2 k n 2 UDD 2 U th,n = k p ( U DD U th,p ) ( U DD 2 ) 1 2 ] ( U DD 2 ) 2 k n k p = 15 W n W p = 15 µp = 5 µ n Integrierte Digitalschaltungen H10 - Seite 3 von 17

23 1 1.2 MOSFET: In den Unteraufgaben a) und b) sind MOS-Feldeffekttransistoren und die Potentiale an deren Anschlüssen gegeben. Bestimmen Sie jeweils den Transistortyp (n- oder p-kanal), die Spannungen U GS und U DS und den Arbeitsbereich (gesperrt, linear, abgeschnürt) und tragen diese in die dafür vorgesehenen Tabellen ein! Hinweis: U th,n = U th,p = 0,4 V a) b) 1,3 V 1,2 V 0,6 V 0 V 0,3 V 1,5 V 1,5 V 0,7 V Transistortyp: Transistortyp n-kanal Transistortyp: p-kanal U GS U GS = 1 V U GS = -0,3 V U DS U DS = Arbeitsbereich Arbeitsbereich: 0,3 V linear U DS = Arbeitsbereich: -0,8 V gesperrt Integrierte Digitalschaltungen H10 - Seite 4 von 17

24 2 Aufgabe 2: CMOS-Logik (25 Punkte) 2.1 a) Q 0 = A 1 A 0 + A 1 A 0 Q 1 = A 1 b) Abb. 2.1: CMOS-Realisierung des Gray-Codierers c) Q 0 = A 1 A 0 + A 1 A 0 = A 1 A 0 + A 1 A 0 = A 1 A 0 A 1 A 0 Q 1 = A 1 Integrierte Digitalschaltungen H10 - Seite 5 von 17

25 2 d) Abb. 2.2: NAND-Realisierung des Gray-Codierers e) CMOS-NAND- Transmission-Gate- Komponente Transistortyp CMOS DCVSL Realisierung Logik Inverter n-mos p-mos Logik n-mos p-mos A CMOS = 6 A n + 6 A p = nm 2 A DCVSL = 8 A n + 2 A p = nm 2 A NAND = 10 A n + 10 A p = nm 2 A TGL = 4 A n + 4 A p = nm 2 f) 2.2 a) Abb. 2.3: CMOS-Schaltung Integrierte Digitalschaltungen H10 - Seite 6 von 17

26 2 b) (Ergebnis ist abhängig von der Anordnung der Transistoren A und B im Pull-Down- Netzwerk!) K 1 (Z 1 ) = 1 Q(Z 1 ) = 1 K 2 (Z 1 ) = 1 K 1 (Z 2 ) = 0 Q(Z 2 ) = 0 K 2 (Z 2 ) = 0 c) (Ergebnis ist abhängig von der Anordnung der Transistoren A und B im Pull-Down- Netzwerk!) C K1 = 3 C GD,p + C GS,p = 4 15 ff = 60 ff C Q = C GD,p + C GD,n + C GD,n + C L = 15 ff ff + 50 ff = 85 ff C K2 = 1 C GS,n + 2 C GD,n = 10 ff ff = 30 ff d) Integrierte Digitalschaltungen H10 - Seite 7 von 17

27 3 Aufgabe 3: Schaltwerke und Speicher (25 Punkte) 3.1 Master-Slave-D-Flip-Flop a) Zeichnen Sie ein beliebiges statisches Master-Slave-D-Flip-Flop auf Transistorebene! Kennzeichnen Sie alle Signal Ein- und Ausgänge! D Q Latch Latch b) Was ist der Unterschied zwischen einem Latch und einem Flip-Flop? Kennzeichnen Sie alle auftretenden Latches in dem vorherigen Aufgabenpunkt! Latch: zustandsgesteuert Flip-Flop: flankengesteuert Integrierte Digitalschaltungen H10 - Seite 8 von 17

28 3 c) Ergänzen Sie das Diagramm aus Abb. 3.1 um die Ausgangssignale Q Master und Q Slave! Das eingesetzte Flip-Flop ist positiv flankengesteuert! Des Weiteren ist das Flip-Flop ideal. Es treten somit keine Verzögerungszeiten auf. CLK 1 0 D Master Q Master Q Slave 1 0 t Abb. 3.1: Zeitlicher Verlauf der Signale an einem Master-Slave-D-Flip-Flop d) Welche zeitlichen Bedingungen müssen eingehalten werden, damit ein Latch ein Eingangssignal sicher speichern kann? t hold < t c q und t c q + t su < T clk e) Mit welcher maximalen Taktfrequenz f CLK kann ein Master-Slave-D-Flip-Flop betrieben werden, wenn folgende Werte gegeben sind? 1.) t c q =0,5 ns, t su = t hold = 0,1 ns Bedingung t hold < t c q erfüllt. f CLK < 1 t c q +t su = 1, 6GHz 2.) t c q =0,1 ns, t su = 0,3 ns, t hold = 50 ps Bedingung t hold < t c q erfüllt. f CLK < 1 t c q +t su = 2,5GHz Integrierte Digitalschaltungen H10 - Seite 9 von 17

29 3 f) Drei aufeinanderfolgende Logikblöcke L1, L2 und L3 sollen in eine Pipelining- Struktur eingebaut werden, die mit einem Takt von 1 GHz getaktet ist. Zur Verfügung stehen die Master-Slave-D-Flip-Flops aus dem vorherigen Aufgabenpunkt. Berechnen Sie die Möglichkeit die Flip-Flops mit den Logikgattern zu kombinieren! Zeichnen Sie eine mögliche Pipelining Struktur auf! Benutzen Sie dafür eine minimale Anzahl an Flip-Flops. Die Kenndaten der Logikblöcke sind t p,l1 = 200 ps, t p,l2 = 100 ps und t p,l3 = 500 ps! 1.) Verwendung des Master-Slave-D-Flip-Flops mit den Kenndaten: t c q =0,5 ns, t su = t hold = 0,1 ns T CLK =1 ns; t c q + t p,l3 + t su =0,5 ns+0,5 ns+0,1 ns=1,1 ns Die Bedingung T CLK > t c q + t p,l3 + t su ist für L3 nicht erfüllt. Mit diesem Flip-Flop lässt sich die Pipelining Struktur nicht realisieren. 2.) Verwendung des Master-Slave-D-Flip-Flops mit den Kenndaten: t c q =0,1 ns, t su = 0,3 ns, t hold = 50 ps L1+L2: T CLK =1 ns; t c q + t p,l1 + t p,l2 + t su =0,1 ns+0,2 ns+0,1 ns +0,3 ns=0,7 ns L3: T CLK =1 ns; t c q + t p,l3 + t su =0,1 ns+0,5 ns+0,3 ns=0,8 ns Die Bedingungen T CLK > t c q + t p,l1 + t p,l2 + t su und T CLK > t c q + t p,l3 + t su sind erfüllt. Mit diesem Flip-Flop lässt sich die Pipelining Struktur realisieren. In FF L1 L2 FF L3 FF Out 3.2 Allgemeine Fragen zu Floating Gate Transistoren. a) In welchen Speichertypen werden Floating Gate Transitoren eingesetzt? Nennen Sie drei unterschiedliche Speichertypen! Antwort: EPROM, EEPROM, Flash Integrierte Digitalschaltungen H10 - Seite 10 von 17

30 3 b) Zeichnen Sie den physikalischen Querschnitt eines n-kanal Floating Gate Transistors und bezeichnen Sie die Dotierungen und Kontakte! Ergänzung A3.1c) c) Der Floating Gate Transistor soll eine logische 1 speichern. Ergänzen Sie die Zeichnung aus dem vorherigen Aufgabenpunkt, so dass klar wird, das eine logische 1 gespeichert wurde. Hinweis Der logische Zustand 1 entspricht einem sperrenden Transistor. Integrierte Digitalschaltungen H10 - Seite 11 von 17

31 4 Aufgabe 4: VHDL und Hochintegration (25 Punkte) 4.1 Bestimmen Sie für die folgenden VHDL-Codeausschnitte den Wert des Signals X. Die Signale A, B und C sind vom Typ std_logic und besitzen die Werte: A= 1, B= 0 und C= 0. a) X <= (C and B) or (A and (B xor C)); X = 0 b) process(a, B, C) begin if A = 0 then X <= 0 ; else X <= (A xor C) or (B and C); end if; end process; X = 1 c) X <= A or ((B and (not (C))) xor (A and (not (B)))); X = 1 Integrierte Digitalschaltungen H10 - Seite 12 von 17

32 4 4.2 a) Vervollständigen Sie den Quellcode der Entity MUX des in Abb. 4.1 dargestellten 2:1 1-Bit Multiplexer! Entity MUX is port( SEL : in std_logic; D0 : in std_logic; D1 : in std_logic; X : out std_logic end ); MUX; Abb. 4.1: Komponente MUX b) Bei einem 2:1 Multiplexer führt der select Wert SEL von 0 zu einer Verbindung zwischen D0 und dem Ausgang, während ein Wert von 1 den Eingang D1 und den Ausgang verbindet. Vervollständigen Sie die Wahrheitstabelle des 2:1 Multiplexer in Abb. 4.2! D1 D0 SEL X Abb. 4.2: Wahrheitstabelle für das 2:1 Multiplexer. c) Geben Sie die vereinfachten logischen Gleichungen für den Ausgang X unter Benutzung des Karnaugh-Veitch-Diagramms an! Siehe Abb. 4.3! d) Zeichnen Sie das Schaltbild des 2:1 Multiplexers unter Benutzung der in Abb. 4.4 dargestellten Komponenten! Siehe Abb. 4.5! Integrierte Digitalschaltungen H10 - Seite 13 von 17

33 4 Abb. 4.3: Karnaugh-Veitch-Diagramm der Komponente MUX. Abb. 4.4: Zur Verfügung stehende Gatter. Abb. 4.5: Logik der Komponente MUX. e) Vervollständigen Sie den folgenden Quellcode indem Sie die Funktion des 2:1 Multiplexers als Datenflussbeschreibung einfügen! architecture dataflow1 of MUX is begin X <= (SEL and D1) or ((not(sel)) and D0); end dataflow1; Integrierte Digitalschaltungen H10 - Seite 14 von 17

34 4 f) Gegeben ist das in Abb. 4.6 dargestellte D-FlipFlop mit Synchronen Reset- Eingang. Vervollständigen Sie den Quellcode indem Sie die Verhaltensbeschreibung des D-FlipFlops einfügen. entity DFF is port ( D : in std_logic; CLK : in std_logic; Reset : in std_logic; Q : out std_logic); end entity DFF; architecture verhalten of DFF is signal q_int: std_logic; Abb. 4.6: Komponente DFF begin process(clk) begin if rising_edge(clk) then if Reset = 1 then q_ing <= 0 ; else q_int <= D; end if; end if; end process; Q <= q_int; end architecture verhalten; Integrierte Digitalschaltungen H10 - Seite 15 von 17

35 4 g) Das D-FlipFlop und der 2:1 Multiplexer werden zu der in Abb. 4.7 dargestellten Schieberegister-Zelle (RegCell) verschaltet. Vervollständigen Sie den Quellcode indem Sie die Strukturbeschreibung der RegCell-Komponente einfügen! entity RegCell is port ( SHIFT, SI, CLK, Reset : in std_logic; Q : out std_logic); end entity RegCell; architecture struktur of RegCell is component MUX port( SEL, D0, D1 : in std_logic; X : out std_logic); end component; component DFF port( D, CLK, Reset : in std_logic; Q : out std_logic); end component; signal q_int: std_logic; signal x_int: std_logic; begin comp: MUX port map( SEL => SHIFT, D0 => SI, D1 => q_int, X => x_int); comp: DFF port map( D => x_int, CLK => CLK, Reset => Reset, Q => q_int); Q <= q_int; end struktur; Integrierte Digitalschaltungen H10 - Seite 16 von 17

36 4 Abb. 4.7: Komponente RegCell Integrierte Digitalschaltungen H10 - Seite 17 von 17

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Aufgabe : Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Hinweis: Die Aufgabenpunkte. bis.4 können unabhängig voneinander gelöst werden! Bei Multiple-Choice Aufgaben können mehrere Antworten

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 28.03.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Schaltungstechnik

Schaltungstechnik KLAUSUR Schaltungstechnik 26.07.2012 Prof. Dr.-Ing. habil. F. Ellinger Dauer: 180 min. Aufgabe 1 2 3 4 5 6 Punkte 15 12 17 13 10 11 78 Modellgleichungen Für die Klausur werden folgende Transistormodelle

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) CMOS Grundlagen 1.1 a) Zeichnen Sie die Schaltsymbole für den n-kanal- und den p-kanal-mosfet (metal oxide semiconductor field-effect

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, 16.06.2016 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus igitales esign 2. Juni 25 ie Arbeitszeit beträgt,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben Sie

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) ufgabe ufgabe : Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Hinweis: Die ufgabenpunkte. bis.4 können unabhängig voneinander gelöst werden! ei Multiple-Choice ufgaben können mehrere ntworten

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm,7 - Atomdichte N cm 3 5, 22 2,2 22 spez. Dichte (2 C) γ g/cm 3 2,3 5,35 Gitterkonstante (2 C) a nm,543,565 Schmelzpunkt C 42 24 spez.

Mehr

Verlustleistungsreduzierung in Datenpfaden

Verlustleistungsreduzierung in Datenpfaden Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken

Mehr

Grundlagen der VLSI-Technik

Grundlagen der VLSI-Technik Grundlagen der VLSI-Technik VLSI-Systeme I Prof. Dr. Dirk Timmermann Institut für Angewandte Mikroelektronik und Datentechnik Fakultät für Informatik und Elektrotechnik Universität Rostock Vorteile der

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 01.07.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): ufgabe 1, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b) Ergänzen Sie für Ihre Schaltung in

Mehr

Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung

Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung Organisatorisches Termine: 01.11.2013 15.11.2013 29.11.2013 13.12.2013 10.01.2014 24.01.2014

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus Digitales Design 2. Juni 2015 Die Arbeitszeit beträgt 1,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 5 AM 02.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 07.03.2013 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Aufgabe 1: Passive Bauelemente (20 Punkte)

Aufgabe 1: Passive Bauelemente (20 Punkte) 1 Aufgabe 1: Passive Bauelemente (20 Punkte) Gegeben ist eine Anordnung, bei dem ein Chip mittels eines dünnen Drahtes (Bonddraht) mit einer Leitung auf einer Platine verbunden ist. Der Bonddraht besteht

Mehr

Schaltungstechnik

Schaltungstechnik KLAUSUR Schaltungstechnik 6.07.01 Prof. Dr.-Ing. habil. F. Ellinger Dauer: 180 min. Aufgabe 1 3 4 5 6 Punkte 15 1 17 13 10 11 78 Modellgleichungen Für die Klausur werden folgende Transistormodelle verwendet

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 9 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 22. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Vorbesprechung drittes Labor

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): Lösung Nachklausur: DT II, am 22..5 ufgabe, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b)

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Friedrich-Alexander-Universität Erlangen-Nürnberg. Lehrstuhl für Elektronische Bauelemente. Prof. Dr.-Ing. H. Ryssel. vhb-kurs Halbleiterbauelemente

Friedrich-Alexander-Universität Erlangen-Nürnberg. Lehrstuhl für Elektronische Bauelemente. Prof. Dr.-Ing. H. Ryssel. vhb-kurs Halbleiterbauelemente Friedrich-Alexander-Universität Prof. Dr.-Ing. H. Ryssel vhb-kurs Halbleiterbauelemente Übungsaufgaben Teil 3: Feldeffekttransistoren Übung zum vhb-kurs Halbleiterbauelemente Seite 15 Feldeffekttransistoren

Mehr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Montag, den Uhr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Montag, den Uhr Grundlagenorientierungsprüfung für Elektroingenieure Schaltungstechnik 1 Univ.-Prof. Dr. techn. Josef A. Nossek Montag, den 17.02.2003 9.00 10.30 Uhr Name: Vorname: Matrikel-Nr.: Hörsaal: Platz-Nr.: Dieses

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Arndt Bode Einführung in die Rechnerarchitektur Wintersemester 2016/2017 Lösungsvorschlag

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 2. November 22 Besprechung: Übungsstunden in der Woche ab dem 9. November 22 ) Schaltungen und Schaltnetze Communication

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Einführung mit VHDL und SystemC von Prof. Dr.-Ing. Frank Kesel und Dr. Rüben Bartholomä 2., korrigierte Auflage Oldenbourg Verlag München

Mehr

Teil IV Latches und Register. dynamisch, statisch

Teil IV Latches und Register. dynamisch, statisch Teil IV Latches und Register dynamisch, statisch 1 Zusammenfassung letzte VL: Definition der verschiedenen Grenzfrequenzen f un, f T, f max Definition der Anstiegs-, Abfall- und Verzögerungszeiten Vorteile

Mehr

6 Integrierte digitale Logikbausteine

6 Integrierte digitale Logikbausteine 6 Integrierte digitale Logikbausteine 6.1 Kennwerte der Integrationsdichte Die Komplexität einer Integrierten Schaltung (IC) wird außer mit der Transistoranzahl auch mit der Anzahl der logischen Gatter

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Unterschrift: Hörsaal: Platz-Nr.:

Unterschrift: Hörsaal: Platz-Nr.: FH München FK 3 Maschinenbau Diplomprüfung Elektronik SS 8 Mittwoch 6.7.8 Prof. Dr. Höcht Zugelassene Hilfsmittel: Alle eigenen Dauer der Prüfung: 9 Minuten Name: Vorname: Sem.: nterschrift: Hörsaal: Platz-Nr.:

Mehr

Hilfsblatt 1 Bauelemente und Schaltungen 1 Campus Duisburg, Fakultät für Ingenieurwissenschaften: EBS

Hilfsblatt 1 Bauelemente und Schaltungen 1 Campus Duisburg, Fakultät für Ingenieurwissenschaften: EBS Hilfsblatt 1 Halbleiterdaten Symbol Germanium Silizium GaAs Einheiten Gitterkonstante a 0 5,66 5,43 5,65 Atome/Volumen 4,4 10 22 5,0 10 22 4,4 10 22 cm 3 spez. Dichte γ 5,33 2,33 5,32 g/cm 3 spez. Wärme

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Statische CMOS- Schaltungen Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Inhaltsübersicht 1. allgemeiner Aufbau 2. Gleichstrom Transfer Charakteristik 3. Transiente

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Übung Integrierte Schaltungen 4. Übung: Kapazitäten, Arbeitspunkt, Kleinsignalverhalten

Übung Integrierte Schaltungen 4. Übung: Kapazitäten, Arbeitspunkt, Kleinsignalverhalten Übung Integrierte Schaltungen 4. Übung: Kapazitäten, Arbeitspunkt, Kleinsignalverhalten Organisatorisches Termine: 01.11.2013 15.11.2013 29.11.2013 13.12.2013 10.01.2014 http://www.meis.tu-berlin.de/menue/studium_und_lehre/

Mehr

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 lektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 b) n die Schaltung werden nacheinander die in der Tabelle eingetragenen ingangssignale angelegt. Tragen Sie die sich einstellenden Pegel

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & Bipolartransistor (20 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & Bipolartransistor (20 Punkte) ufgabe : Mikroelektronische Grundlagen & ipolartransistor (2 Punkte) Hinweis: Die ufgabenpunkte. bis.5 können unabhängig voneinander gelöst werden! ei Multiple-Choice ufgaben können mehrere ntworten richtig

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Hardwarepraktikum WS 2001/02

Hardwarepraktikum WS 2001/02 Hardwarepraktikum W / Versuch equentielle ysteme III Gruppe 68: enise Baldauf, 474 Thomas Winter, 4778 Michael Grieswald, 496 hemnitz, den.. Aufgabenstellungen zur Vorbereitung Aufgabe >> Beschreiben ie

Mehr

Grundlagen der Technischen Informatik. Einführung in CMOS-Technologie. Kapitel 7.2

Grundlagen der Technischen Informatik. Einführung in CMOS-Technologie. Kapitel 7.2 Einführung in CMOS-Technologie Kapitel 7.2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design Abstraktionsebenen SYSTEM-Ebene + MODUL-/RT-Ebene (Register-Transfer) Logik-/GATTER-Ebene

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Aufgabe E1: Aufgabe E2: Aufgabe E3: Fachhochschule Aachen Lehrgebiet Flugzeug- Elektrik und Elektronik Prof. Dr. G. Schmitz

Aufgabe E1: Aufgabe E2: Aufgabe E3: Fachhochschule Aachen Lehrgebiet Flugzeug- Elektrik und Elektronik Prof. Dr. G. Schmitz Aufgabe E1: Gegeben sei eine Leuchtdiode (LED), die an einer Gleichspannung von 3V betrieben werden soll. Dabei soll sich ein Strom von 10mA einstellen. a) erechnen Sie den erforderlichen Vorwiderstand,

Mehr

Aufgabe 1 Bipolare Transistoren

Aufgabe 1 Bipolare Transistoren 2 22 Aufgabe Bipolare Transistoren (22 Punkte) Gegeben sei die folgende Transistor-Schaltung bestehend aus einem pnp- und einem npn-transistor. i b2 i c2 i b T2 i c T i 2 R 2 i a =0 u e u a U 0 i R Bild

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Aufgaben zur Analogen Schaltungstechnik!

Aufgaben zur Analogen Schaltungstechnik! Aufgaben zur Analogen Schaltungstechnik! Prof. Dr. D. Ehrhardt Aufgaben Analoge Schaltungstechnik Prof. Dr. D. Ehrhardt 26.4.2017 Seite 1 Aufgaben zur Analogen Schaltungstechnik! Prof. Dr. D. Ehrhardt

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Digitalelektronik: Einführung

Digitalelektronik: Einführung Überblick Grundlagen: Spannung, Strom, Widerstand, IV-Kennlinien Elektronische Messgeräte im Elektronikpraktikum Passive Filter Signaltransport im Kabel Transistor Operationsverstärker PI-Regler Sensorik

Mehr

Abschlussprüfung Schaltungstechnik 2

Abschlussprüfung Schaltungstechnik 2 Name: Platz: Abschlussprüfung Schaltungstechnik 2 Studiengang: Mechatronik SS2009 Prüfungstermin: Prüfer: Hilfsmittel: 22.7.2009 (90 Minuten) Prof. Dr.-Ing. Großmann, Prof. Dr.-Ing. Eder Nicht programmierbarer

Mehr

Transistorschaltungen

Transistorschaltungen Transistorschaltungen V DD in Volt 3 2 V Ein - UTh,P V Ein - UTh,N 1-1 0 1 2 3 U Th,P U Th,N V Ein in Volt a) Schaltung b) Übertragungsfunktion Bipolar Transistorschaltung im System I Ein C Ein? V CC I

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017. NE555 IC Timer

Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017. NE555 IC Timer Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017 NE555 IC Timer Gliederung Einführende Anwendung des NE555 Innere Verschaltung Wdh. Komparator Wdh. RS-Latch Erklärung seiner Funktion

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Für alle Rechnungen aller Aufgabenteile gilt: T = 300 K und n i = 1 10 10 cm 3 sofern nicht anders angegeben.

Für alle Rechnungen aller Aufgabenteile gilt: T = 300 K und n i = 1 10 10 cm 3 sofern nicht anders angegeben. Für alle Rechnungen aller Aufgabenteile gilt: T = 300 K und n i = 1 10 10 cm 3 sofern nicht anders angegeben. Aufgabe 1: Halbleiterphysik I Punkte 1.1) Skizzieren Sie das Bändermodell eines p-halbleiters.

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Lösung 4.1 Stuck-at-Fehler

Lösung 4.1 Stuck-at-Fehler Lösung 4. Stuck-at-Fehler Zuerst stellen wir die Wertetabelle für die gegebene Schaltung auf: Tabelle für c s-a-: a b c d e f g h i ( c d) ( e f) ( g h) Tabelle für f s-a-: a b c d e f g h i ( c d) ( e

Mehr

Diplomprüfung Elektronik WS 2004/2005 Dienstag,

Diplomprüfung Elektronik WS 2004/2005 Dienstag, FH München FB 3 Maschinenbau Diplomprüfung Elektronik WS 4/5 Dienstag,..5 Prof. Dr. Höcht Prof. Dr. Kortstock Zugelassene Hilfsmittel: Alle eigenen Dauer der Prüfung: 9 Minuten Name: Vorname: Sem.: Unterschrift:

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

Von der Schaltungslogik zur Informationsverarbeitung

Von der Schaltungslogik zur Informationsverarbeitung Wintersemester 7/8 Schaltungslogik. Kapitel Von der Schaltungslogik zur Informationsverarbeitung Prof. Matthias Werner Professur Betriebssysteme 49 Schaltnetze! Gatter implementieren boolesche Funktionen

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr