Hardware Praktikum 2008

Größe: px
Ab Seite anzeigen:

Download "Hardware Praktikum 2008"

Transkript

1 HaPra Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst

2 Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter Entwurf HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 2

3 Entwurfsablauf Anforderungen festlegen Aufbau einer Hardware-Struktur Validierung der Hardware - Funktion, Zeitverhalten, Groesse - Implementierung solange ändern, bis Anforderungen erfüllt Abbildung auf Elemente der Bibliothek Entwurf der Geometrie Spezifikation Gatternetzliste entwerfen Validierung Verifikation Synthese Platzieren & Verdrahten Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 3

4 Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter Entwurf HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 4

5 Entwurfsablauf Volladdierer Aufbau mit Grundgattern Validierung durch Tutor Spezifikation Gatternetzliste entwerfen Validierung Verifikation Synthese Platzieren & Verdrahten Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 5

6 Spezifikation A B C in S C out HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 6

7 Entwurf der Gatternetzliste & & C out & A B C in =1 =1 S HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 7

8 Entwurfsablauf Volladdierer Aufbau mit Grundgattern Validierung durch Tutor Spezifikation Gatternetzliste entwerfen Validierung Verifikation Abbildung auf Elemente der Bibliothek Synthese Platzieren & Verdrahten Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 8

9 Bibliothekselemente für den diskreten Aufbau TTL-Bausteine Spannungsversorgung IO-Platine Draht und Anschlußleitungen HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 9

10 TTL-Bausteine TTL: Transistor-Transistor-Logik - Robuster gegenüber elektrostatischer Entladungen als die CMOS-Versionen Beinhalten mehrere unabhängige Grundgatter Typ SN7400N SN7402N SN7404N SN7408N SN7432N SN7486N Funktion 4 NAND-Gatter 4 NOR-Gatter 6 Inverter 4 AND-Gatter 4 OR-Gatter 4 XOR-Gatter HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 10

11 Benötigte Elemente HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 11

12 Ein- / Ausgabeplatine Spannungsversorgung: 5V Erzeugt logische Pegel (DIP-Schalter) Zeigt logische Pegel an (LEDs) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 12

13 Synthese (1) + A B 7486 A 7400 B C out C in C in S - HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 13

14 Synthese (2) A B C in S C out HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 14

15 Entwurfsablauf Volladdierer Aufbau mit Grundgattern Validierung durch Tutor Abbildung auf Elemente der Bibliothek Spezifikation Gatternetzliste entwerfen Validierung Verifikation Synthese Entwurf der Geometrie Platzieren & Verdrahten Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 15

16 HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 16 Experimentierplatine GL-36 V 1 V 2 V 3

17 Plazieren und Verdrahten Elemente platzieren für minimale Drahtlängen Ausnutzung der internen Verschaltung der Experimentierplatine Ein übersichtlicher Aufbau erleichtert die Fehlersuche HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 17

18 Entwurfsablauf Volladdierer Aufbau mit Grundgattern Validierung durch Tutor Abbildung auf Elemente der Bibliothek Entwurf der Geometrie Aufbau und Test auf der Experimentierplatine Spezifikation Gatternetzliste entwerfen Validierung Verifikation Synthese Platzieren & Verdrahten Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 18

19 Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 19

20 Entwurfsablauf Volladdierer Aufbau mit Grundgattern Validierung durch Tutor Abbildung auf Elemente der Bibliothek Entwurf der Geometrie Aufbau und Test auf der Experimentierplatine Spezifikation Gatternetzliste entwerfen Validierung Verifikation Synthese Platzieren & Verdrahten Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 20

21 Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter Entwurf HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 21

22 Entwurfsablauf Volladdierer Eingabe der Gatternetzliste Spezifikation Gatternetzliste entwerfen Validierung Verifikation Synthese Platzieren & Verdrahten Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 22

23 Eingabe der Gatternetzliste Graphische Eingabe mit gschem - Ein Werkzeug aus der freien geda-suite - Automatische Umsetzung in VHDL mit gnetlist Direkte Eingabe der Struktur in VHDL Alternative: Verilog - In den USA verbreitet - Im HaPra nicht verwendet HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 23

24 Das Programm gschem Starten über Shell: gschem & Erlaubt graphische Eingabe von Netzlisten - Hinzufügen von Komponenten - Verdrahtung der Komponenten untereinander Anschlüsse nach aussen sind ebenfalls Komponenten Ausführliche Dokumentation im HaPra-Skript HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 24

25 VHDL-Code-Generierung Datei in gschem abspeichern VHDL-Code erzeugen mit gnetlist: gnetlist -g vhdl -o ha.vhd ha.sch VHDL-Code überprüfen! HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 25

26 VHDL Very High Speed Integrated Circuit Hardware Description Language Hardwarebeschreibungssprache: Beschreibung von - Verhalten (Behavioral) - Struktur (Structural) Modellierung digitaler Systeme - Synthesefähiger Code: Beschreibung von Hardware - Nicht synthesefähiger (funktionaler) Code: Schaltungssimulation, Testbenches Hier nun: Synthesefähige Beschreibung einer Hardware-Struktur HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 26

27 Beispiel: Volladdierer library IEEE; use IEEE.std_logic_1164.all; entity FULLADDER is port ( A, B, C_IN : in std_logic; S, C_OUT : out std_logic ); end FULLADDER; Kopf: Verwendete Bibliotheken Entity: Spezifikation der Schnittstelle architecture STRUCTURE of FULLADDER is signal AB : std_logic; begin AB <= A xor B; S <= AB xor C_IN; C_OUT <= (C_IN and AB) or (A and B); end architecture STRUCTURE; Architecture: Interner Aufbau (Implementierung) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 27

28 Entwurfsablauf Volladdierer Eingabe der Gatternetzliste - gschem oder VHDL direkt Validierung des VHDL-Codes Spezifikation Gatternetzliste entwerfen Validierung Verifikation Synthese Platzieren & Verdrahten Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 28

29 Validierung mit ModelSim ModelSim ist ein VHDL-Simulator von Mentor Graphics Kompiliert und Simuliert VHDL Starten mit: vsim & HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 29

30 Kompilierung von VHDL WORK-Library anlegen: - File New Library Quellen Kompilieren - Compile Compile HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 30

31 VHDL Simulation Richtige architecture auswählen Aufzuzeichnende Signale auswählen (wave) Eingangssignale belegen (force) Simulation eines Zeitabschnittes (run) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 31

32 Entwurfsablauf Volladdierer Eingabe der Gatternetzliste - gschem oder VHDL direkt Validierung des VHDL-Codes - Simulation mit ModelSim Spezifikation Gatternetzliste entwerfen Validierung Verifikation Synthese Synthese und Erzeugung von FPGA-Programmierdaten Platzieren & Verdrahten Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 32

33 Synthese und Analyse mit XST XST: Xilinx Synthesis Technology Eingaben: - Hardware-Design - Optimierungs-Optionen, Anforderungen Erzeugt eine NGC-Datei, welche die erzeugte Netzliste enthält HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 33

34 Hardware-Design Liegt als eine Menge von VHDL-Dateien in einem Verzeichnis Eine Projektdatei <name>.prj listet alle Quellcode- Dateien auf: vhdl work file1.vhd vhdl work file2.vhd Diese Datei wird von XST benötigt HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 34

35 Synthese-Optionen Festlegen in einem Synthese-Skript <name>.scr run -ifn <name>.prj -ifmt vhdl -top <name> -ofn <name>.ngc -ofmt NGC -p xcv300 -opt_mode Speed -opt_level 1 Projektdatei und Hardware-Sprache Top-Level Entity Ausgabedatei und -format FPGA-Modell und Optimierungsoptionen Aufruf: xst ifn <name>.scr HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 35

36 Plazieren und Verdrahten beim FPGA-Entwurf Ein FPGA besteht aus Configurable Logic Blocks (CLBs) und Verdrahtung Platzieren: Auswahl der CLB C CLB... CLB C CLB physikalischen CLBs für die C S C C S C verschiedenen Teilfunktionen CLB C CLB... CLB C CLB Verdrahten: Programmierung der Verbindungen zwischen den CLB C CLB... CLB C CLB CLBs C S C C S C Details dazu: Siehe Ro/Ti CLB C CLB... CLB C CLB HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 36

37 Das HaPra-FPGA: XCV300 Virtex-Serie CLB-Raster: 32 x 48 Gesamtanzahl der CLB: 1536 Ein- und Ausgabepins: 316 Speicherzellen: 64 kbit Spezielle Strukturen zur Taktverteilung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 37

38 CLBs bei Virtex-FPGAs 4 LUTs mit 4 Eingängen und 1 Ausgang - Für beliebige 4-stellige Funktionen - Mehrstellige Funktionen mit Einschränkungen 4 Speicher-Elemente - Konfigurierbar als D-Flip-Flops oder als Latches Organisiert in 2 Slices HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 38

39 Eine Slice im Detail Dedizierte Carry-Logik zwischen LUTs und Speicherelementen Beinhaltet - Schnelle AND- und XOR-Gatter - Carry-Verbindungen zwischen den CLBs Zur effizienten Implementierung von - Mehrstelligen Funktionen - Addierern - Multiplizierern HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 39

40 Platzieren und Verdrahten mit ngc2bit Eingaben: - Synthetisiertes Hardware-Design als NGC-Datei - Zuweisung der Design-Ports zu den FPGA-Pins - Anforderungen and Timing (Takt-Rate, Takt-Pins) Erzeugt eine Bit-Datei zur Programmierung des FPGA HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 40

41 Zuweisungen und Anforderungen Werden in einer UCF-Datei spezifiziert - Unified Constraints File Zuordnung der Ports des Designs zu den Pins des Chipgehäuses - NET BOARD_RES LOC = P234; - NET BOARD_BUTTON<0> LOC = P237; Art des Resets beim Einschalten - INST res_power_up INIT = S; Anschluss und Periode des Taktes - NET BOARD_CLK LOC = P89; - NET BOARD_CLK PERIOD = 40; HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 41

42 Aufruf von ngc2bit Im aktuellen Verzeichnis: - <name>.ngc Hardware-Design - <name>.ucf Zusatzangaben Aufruf: ngc2bit <name> - Erzeugt <name>.bit Analyse des Zeitverhaltens (optional): - trce <name> HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 42

43 Entwurfsablauf Volladdierer Eingabe der Gatternetzliste - gschem oder VHDL direkt Validierung des VHDL-Codes - Simulation mit ModelSim Synthese und Erzeugung von FPGA-Programmierdaten - Mit XST und ngc2bit Spezifikation Gatternetzliste entwerfen Validierung Verifikation Synthese Platzieren & Verdrahten FPGA-Personalisierung Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 43

44 Aufbau des HaPra-Boards Xess XSV300 Prototyping-Board HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 44

45 Umgang mit dem HaPra-FPGA Board Keine Kabel abziehen! FPGA-Boards sind teuer pfleglich behandeln! Boards nur mit Strom versorgen, wenn notwendig! HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 45

46 FPGA-Personalisierung mit xsload Die Konfigurations-Datei <name>.bit - Enthält komplette Konfiguration für alle FPGA-Komponenten: - Funktion jedes LUTs - Verdrahtungsmatrix - Anschluss der realisierten Schaltung an externe FPGA-Pins Das Board erlaubt die Programmierung des FPGA über die parallele Schnittstelle Aufruf: xsload <name>.bit - Danach realisiert das FPGA die gewünschte Funktion HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 46

47 Entwurfsablauf Volladdierer Eingabe der Gatternetzliste - gschem oder VHDL direkt Validierung des VHDL-Codes - Simulation mit ModelSim Synthese und Erzeugung von FPGA-Programmierdaten - Mit XST und ngc2bit FPGA-Personalisierung - xsload Spezifikation Gatternetzliste entwerfen Validierung Verifikation Synthese Platzieren & Verdrahten Fertigung HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 47

48 Viel Spaß weiterhin im HaPra 2008!

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

D.4 Versuchsreihe 4: Integrierte Logikbausteine

D.4 Versuchsreihe 4: Integrierte Logikbausteine .4 Versuchsreihe 4: Integrierte Logikbausteine Abgabedatum: 14.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In den folgenden Versuchsreihen soll ein einfacher

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 3 - Diskrete Transistoren Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Organisatorisches Wie funktioniert ein MOSFET? Was

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

D.9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese

D.9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese .9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese Abgabedatum: 25.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) Aufbau des Gesamtsystems amit ein funktionsfähiges

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Schülerseminar Programmieren einer Ampelsteuerung

Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 1/17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 Prof. G. Kemnitz Institut für Informatik

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

XILINX ISE WEBPACK und DIGILENT BASYS2

XILINX ISE WEBPACK und DIGILENT BASYS2 XILINX ISE WEBPACK und DIGILENT BASYS2 Eine kurze Einführung. Download ISE Projektdateien auch links im Menü (ZIP) Abteilung Elektronik an der HTL Mödling 2011/2015 Grundbegriffe Xilinx ist eine Firma

Mehr

Vorlesung Hardware-Beschreibungssprachen

Vorlesung Hardware-Beschreibungssprachen Vorlesung Hardware-Beschreibungssprachen Dr.-Ing. S. Arlt Fakultät EI Fachbereich Elektronische Schaltungen und Systeme S. Arlt TUI/EI/ESS HBS 0-1 Vorlesung Hardware-Beschreibungssprachen Kapitel 1 : Einführung

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Hardware Praktikum 2010

Hardware Praktikum 2010 HaPra 2010 - Vorbesprechung 28.06.2010 Hardware Praktikum 2010 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. Michael Imhof Dipl.-Inf. Stefan Holst Dipl.-Inf. Marcus Wagner Agenda Organisatorisches Gesamtsystem

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

Configurable Embedded Systems

Configurable Embedded Systems Configurable Embedded Systems Prof. Dr. Sven-Hendrik Voß Wintersemester 2017 Technische Informatik (Master), Semester 2 Termin 3, 23.10.2017 Seite 2 Zynq Design Flow Configurable Embedded Systems Wintersemester

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

VHDL Simulation. in ORCAD

VHDL Simulation. in ORCAD VHDL Simulation in ORCAD V1.0 Graz, Jänner 2002 Inhaltsverzeichnis 1 Einleitung 1 1.1 Simulation und Verifikation 2 1.2 Entwurfsqualität 2 1.3 Begriffe in der Elektronik und ihre Äquivalenz zu VHDL 3 1.4

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Lösungsvorschlag

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education Paul Molitor und Jörg Ritter VHDL Eine Einführung ein Imprint von Pearson Education München Boston San Francisco Harlow, England Don Mills, Ontario Sydney Mexico City Madrid Amsterdam Inhaltsverzeichnis

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

Rechnergestützter VLSI-Entwurf

Rechnergestützter VLSI-Entwurf Schaltungssynthese Dipl.-Ing. e-mail: rgerndt@iam.de Seite SYN/1 Motivation Vereinfachung des Systementwurfes Weniger Fehler durch abstrakte Beschreibung Portierbarkeit der Schaltung (PLD, CPLD, FPGA,

Mehr

D.8 Versuchsreihe 8: Steuerwerk - Teil I

D.8 Versuchsreihe 8: Steuerwerk - Teil I .8 Versuchsreihe 8: Steuerwerk - Teil I Abgabedatum: 13.06.2008 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In dieser Versuchsreihe soll der Aufbau des Gesamtsystems

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD)

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) 1 FPGA Design Flow 2 1. Einleitung 2. Grundlegende Strukturelemente 3. Syntax 4. Synthesefähiger VHDL-Code 5. Zusammenfassung

Mehr

COOL HASHING MIT FPGAS. Robert Bachran

COOL HASHING MIT FPGAS. Robert Bachran COOL HASHING MIT FPGAS Robert Bachran Dresden, 16.1.2012 Einführung Grundlagen Kriterien für gute Hashverfahren Grundlagen FPGAs Hashverfahren auf FPGAs Skein auf FPGA Evolutionäre Hashverfahren Energiesparendes

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Design Compiler. VHDL Kurzbeschreibung und in dem SYNOPSYS

Design Compiler. VHDL Kurzbeschreibung und in dem SYNOPSYS Werkzeuge : SYNOPSYS Design-Vision Design-Kits : AMS Hit-Kit designsetup : syn ams A. Mäder Diese Anleitung beschreibt die Synthese mit den SYNOPSYS Werkzeugen: Wegen der vielfältigen Möglichkeiten in

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Konfiguration des MIG für DDR2-SDRAM Ansteuerung

Konfiguration des MIG für DDR2-SDRAM Ansteuerung Konfiguration des MIG für DDR2-SDRAM Ansteuerung Verwendete Ressourcen: Board: Spartan-3A/3AN FPGA Starter Kit Board FPGA: XC3S700AN Speicher: Micron Technology DDR2-SDRAM (MT47H32M16) Software: - ISE

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

Programmierbare Logikbauelemente

Programmierbare Logikbauelemente Programmierbare Logikbauelemente Architekturen und Anwendungen von Axel Sikora mit 148 Bildern und 31 Tabellen HANSER Grundlagen 13 1.1 Einführung 13 1.2 Grundlagen digitaler Schaltungen 15 1.2.1 Grandlagen

Mehr

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1 Einführung Zusatzfolien A Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 19 November 2009 1 Empfehlungen für die Verzeichnisstruktur Unterverzeichnisse für Projekte doc

Mehr

Programmierbare Logik Arithmetic Logic Unit

Programmierbare Logik Arithmetic Logic Unit Eine arithmetisch-logische Einheit (englisch: arithmetic logic unit, daher oft abgekürzt ALU) ist ein elektronisches Rechenwerk, welches in Prozessoren zum Einsatz kommt. Die ALU berechnet arithmetische

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788 CFG Steffen Ostendorff Zusebau, R2078, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 2. Januar 2012 Self-Organization 05 January 2012 1 Wiederholung CFG 2. Januar

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.!

Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.! Fakultät Informatik Institut für Technische Informatik VLSI-Entwurfssysteme, Diagnostik und Entwurf! Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.! Norman Seßler! Dresden, 1.7.2009! Gliederung!

Mehr

VHDL Post-Route Simulation mit XILINX-FPGA s

VHDL Post-Route Simulation mit XILINX-FPGA s Dipl.-Ing. T. Wurlitzer (a3url@et.htk-leipzig.de) Prof. Dr.-Ing. habil. W. Reinhold (reinhold@et.htk-leipzig.de) VHDL Post-Route Simulation mit XILINX-FPGA s I. VHDL als Hardarebeschreibungssprache ist

Mehr

System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen

System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen System-on-chip Car Übungsblatt 1 Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen Die folgenden Quellen sind für die Bearbeitung der Aufgaben hilfreich. Gunther

Mehr

SPKC. Inhalte der Vorlesung. Signalprozessoren und Kommunikationscontroller. Prof. Dr.-Ing. Peter Schulz. Signalprozessoren

SPKC. Inhalte der Vorlesung. Signalprozessoren und Kommunikationscontroller. Prof. Dr.-Ing. Peter Schulz. Signalprozessoren Signalprozessoren und Kommunikationscontroller für den Schwerpunkt Telekommunikationstechnik: für alle anderen Schwerpunkte: Pflichtfach Wahlpflichtfach Inhalte der Vorlesung Signalprozessoren Systemarchitekturen

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein Programmierbare Logik mit GAL und CPLD Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein R.Oldenbourg Verlag München Wien 999 Inhaltsverzeichnis Vorwort..2.2..2.2.2.3.3.4

Mehr

Design 1. Protokoll. Stefan Krulj, Markus Schütz,

Design 1. Protokoll. Stefan Krulj, Markus Schütz, Design 1 Protokoll Stefan Krulj, 0826564 Markus Schütz, 0725043 Advanced Digital Design Design 1, Stefan Krulj, Markus Schütz Anmerkung Aufgrund diverser Probleme mit dem Development-Board DN8000K10PSX

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Effiziente Überwachung von Laufzeiteigenschaften in Soft- und Hardware

Effiziente Überwachung von Laufzeiteigenschaften in Soft- und Hardware Effiziente Überwachung von Laufzeiteigenschaften in Soft- und Hardware Normann Decker 1 Philip Gottschling 2 1 Institut für Softwaretechnik und Programmiersprachen Universität zu Lübeck decker@isp.uni-luebeck.de

Mehr

4.Vorlesung Rechnerorganisation

4.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 22. April 2004 1 Inhalt: 4.Vorlesung Rechnerorganisation technischer Hintergrund der von uns verwendeten Experimentierhardware kurze Einführung in das Altera Entwicklungssystem

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

VHDL- und mixed-mode Netzlistensimulation

VHDL- und mixed-mode Netzlistensimulation Werkzeuge : CADENCE NCSim Design-Kits : AMS Hit-Kit designsetup : ldv ams A. Mäder Diese Anleitung beschreibt die grundlegenden Schritte, um innerhalb einer VHDL-Testumgebung Gatternetzlisten, als Ausgabe

Mehr

3-BIT VOLLADDIERER MIT EINZELNEM EINGABE-DATENBUS

3-BIT VOLLADDIERER MIT EINZELNEM EINGABE-DATENBUS Physikalisches Praktikum für Vorgerückte 3-BIT VOLLADDIERER MIT EINZELNEM EINGABE-DATENBUS Simon C. Leemann, Abteilung für Physik Versuch: Digitale Elektronik November 998 Zusammenfassung In diesem Bericht

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Verifikation. Simulation und formale Methoden

Verifikation. Simulation und formale Methoden Verifikation Simulation und formale Methoden Motivation Thema: formale (=exakte) Hardwareverifikation Ziel der formalen Hardwareverifikation automatische, zuverlässige und frühzeitige Erkennung von Entwurfsfehlern

Mehr