Die in der formalen Hardware Verifikation verwendeten Sprachen sind jedoch mit ihrem

Größe: px
Ab Seite anzeigen:

Download "Die in der formalen Hardware Verifikation verwendeten Sprachen sind jedoch mit ihrem"

Transkript

1 Formale Spezifikation und Verifikation mit VHDL Ralf Reetz, Klaus Schneider und Thomas Kropf 1 Institut für Rechnerentwurf und Fehlertoleranz (Prof. D. Schmid) Universität Karlsruhe, Postfach 6980, Karlsruhe, Germany {reetz,schneide,kropf}@informatik.uni-karlsruhe.de fax: , www: Zusammenfassung Die formale Verifikation einer VHDL Beschreibung bestand bisher aus drei Teile: die Erstellung einer formalen Implementierung aus einer gegebenen VHDL Beschreibung, die Erstellung einer formalen Spezifikation in einer temporalen Logik und dem formalen Beweis, daß die Implementierung die Spezifikation erfüllt. In dieser Arbeit wird mit der Verifikationsbeschaltung (engl. verificationbench) ein neues Konzept zur Spezifikation vorgestellt. Eine Verifikationsbeschaltung ermöglicht es, sowohl die formale Spezifikation als auch die Implementierung in VHDL selbst zu beschreiben. Der Entwerfer kann somit in seinem gewohnten Paradigma spezifizieren. Es entfällt die Notwendigkeit, Logik zu verwenden und sich dabei auf die formale Implementierung beziehen zu müssen. Zur Umsetzung dieses Konzepts werden zwei neue Sprachkonstrukte für VHDL vorgeschlagen, die zu Simulationszwecken auf bestehende Sprachkonstrukte zurückgeführt werden können. 1 Einleitung VHDL [1] ist ein bedeutender IEEE Standard zur Beschreibung digitaler Schaltungen. Es gibt eine Vielzahl von auf VHDL basierenden, kommerziellen Entwurfswerkzeugen, die im digitalen Schaltungsentwurf verwendet werden. In den letzten Jahren ist die formale Hardware Verifikation im Schaltungsentwurf als eine vielversprechende, neue Methode entwickelt worden, um die Korrektheit des Schaltungsentwurfs zu gewährleisten [2]. Die Grundlage der formalen Hardware Verifikation ist zum einen eine aus zwei (nicht immer verschiedenen) Teilsprachen und zusammengesetzte Sprache mit einer formal gesicherten Semantik und zum anderen ein zu passendes formales System zur Bestimmung des Wahrheitsgehalts der in getätigten Aussagen. Die formale Verifikation einer Schaltung umfaßt drei Aufgaben: 1. Die Schaltung (Implementierung) ist in zu beschreiben. 2. Die zu verifizierenden Eigenschaften (Spezifikation) sind in zu beschreiben. 3. In dem formalen System ist der Beweis zu führen, daß die Implementierung die Spezifikation erfüllt. Die in der formalen Hardware Verifikation verwendeten Sprachen sind jedoch mit ihrem Modellierungsparadigma weit von VHDL entfernt. Der IEEE Standard zu VHDL ist nicht formal gesichert. Daher sind in VHDL entworfene Schaltungen in der Hardware Verifikation bzgl. der Punkte 1 und 2 direkt nicht verwendbar. Es gibt eine Vielzahl von Arbeiten, die sich mit VHDL bzgl. Punkt 1 beschäftigt haben. Unterschiedlichste Sprachteilmengen von VHDL wurden in eine formal gesicherte Sprache übersetzt. Punkt 1 bezeichnet man auch mit eine formale Semantik für VHDL 1 Diese Arbeit wurde durch den SFB 358 der deutschen Forschungsgemeinschaft gefördert.

2 erstellen. Zu Details sei hier aus Platzgründen auf die Übersicht in [9] verwiesen. Im allgemeinen kann jedoch gesagt werden, daß eine aus einer VHDL Beschreibung automatisch erzeugte, in beschriebene formale Implementierung relativ kompliziert und daher nur noch sehr schwer verständlich ist. Dem Punkt 2 kommt in der formalen Hardware Verifikation eine entscheidende Bedeutung zu, da die Korrektheit einer Implementierung immer nur bzgl. der Spezifikation gewährleistet werden kann. Eine falsche Spezifikation führt zu falschen Schlüssen bzgl. der Korrektheit der Implementierung. Zur einfachen und klaren Spezifikation einer VHDL Beschreibung in VHDL selbst gibt es nur sehr frühe Ansätze [7, 4], die in der Hardware Verifikation nicht weiter verfolgt worden sind. Heute werden i.a. immer noch formal gesicherte Sprachen, üblicherweise temporale Logiken, zur Spezifikation von VHDL Beschreibungen verwendet. Es ist nicht immer einfach, Spezifikationen in temporalen Logiken zu erstellen und zu plausibilisieren, wie es in einer Fallstudie bereits an dem einfachen Beispiel des Single Pulser gezeigt wurde [12]. Besonders schwierig wird es jedoch, wenn man bedenkt, daß die Spezifikation einer VHDL Beschreibung nicht direkt auf die VHDL Beschreibung selbst, sondern auf die automatisch erzeugte formale Beschreibung der Implementierung Bezug nehmen muß. Hier setzt diese Arbeit an: es wird das Konzept der Verifikationsbeschaltung vorgestellt, das mit neuen Spracherweiterungen für VHDL ermöglicht, eine Spezifikation zusammen mit einer Implementierung direkt in VHDL zu beschreiben. Aus einer Verifikationsbeschaltung kann die formale Spezifikation und die formale Implementierung für die Verifikation automatisch generiert werden. Die Besonderheit des Ansatzes liegt darin, daß die Semantik existierender Sprachkonstrukte von VHDL nicht für die Bedürfnisse der Spezifikationen abgeändert werden, sondern daß hierzu neue Spracherweiterungen vorgeschlagen werden. Weiterhin ist das Konzept der Verifikationsbeschaltung unabhängig von einer bestimmten formalen Semantik für VHDL. Abschnitt 2 stellt den Aufbau einer Verifikationsbeschaltung vor. Die zur Umsetzung des Konzeptes der Verifikationsbeschaltung benötigten neuen Sprachkonstrukte für VHDL werden in Abschnitt 3.2 vorgestellt. Abschnitt 4 deutet anhand einiger Beispiele die Ausdrucksmöglichkeiten an. In Abschnitt 5 wird der Zusammenhang dieser Arbeit mit bisherigen Arbeiten erläutert. 2 Verifikationsbeschaltung deterministischer Stimuli-Generator Die gängige Methode, die Korrektheit von VHDL Beschreibungen zu Eingabe Implementierung Beobachter Ausgabe gewährleisten, ist die Simulation in Verbindung mit dem Konzept der Validierungsbeschaltung ( testbench ). Bericht Eine Validierungsbeschaltung ist eine VHDL Beschreibung, welche die Abbildung 1: Validierungsbeschaltung drei Komponenten deterministischer Stimuli Generator, Implementierung und Beobachter enthält, deren Verschaltung in Abb. 1 gezeigt wird. Der Stimuli Generator erzeugt in deterministischer Weise Eingaben für die Implementierung. Die

3 Eingaben können von den Ausgaben der Implementierung abhängig sein. Der Stimuli Generator beschreibt somit konkrete Testfälle der Umgebung, innerhalb der die Implementierung eingesetzt werden soll. Der Beobachter analysiert die Ein- und Ausgaben der Implementierung und faßt die Ergebnisse der Analyse in einem Bericht an die Außenwelt zusammen. Eine Validierungsbeschaltung stellt somit ein geschlossenes System, d.h. ein System ohne freie Eingaben dar und kann damit ohne extern zu erzeugende Stimuli direkt simuliert werden. nichtdeterministischer Stimuli-Generator Eingabe Implementierung Beobachter reach/hold s i s j P Abbildung 2: Verifikationsbeschaltung Ausgabe die Implementierung erfüllen soll, um korrekt zu sein. 2.1 Stimuli Generator Das Konzept der Verifikationsbeschaltung ist die Anpassung des Konzepts der Validierungsbeschaltung an die Anforderungen der formalen Verifikation (Abb. 2). Ein nichtdeterministischer Stimuli Generator spezifiziert die Umgebung, innerhalb derer die Implementierung korrekt sein soll. Ein Beobachter spezifiziert die Eigenschaften, die In der formalen Verifikation werden nicht konkrete, sondern symbolische Testfälle betrachtet. Ein symbolischer Testfall ist eine beliebige, nichtdeterministische Wahl aus der Menge aller möglichen Testfälle. Wenn die Implementierung also für einen beliebigen, nichtdeterministisch gewählten Testfall korrekt sein soll, so muß sie für alle möglichen Testfälle korrekt sein. Um nichtdeterministisch einen Testfall generieren zu können, muß in einer Verifikationsbeschaltung der Stimuli Generator nichtdeterministisch realisiert werden. Somit ist auch eine Verifikationsbeschaltung ein geschlossenes System. Daher werden keine freien Eingaben benötigt, so daß bei der Verwendung einer Verifikationsbeschaltung für die Spezifikation eine bestehende formale Semantik für VHDL nicht abgeändert werden muß, um freie Eingaben zu ermöglichen Beobachter Zur Erzeugung einer formalen Spezifikation wird der unscharfe Begriff des Berichts auf eine Menge von Eigenschaften konkretisiert. wird in temporaler Logik 3 definiert durch: "!#! Informell bedeutet dies: immer wenn $ wahr ist, so wird irgendwann % wahr und dann muß auch gleichzeitig wahr sein. $ und werden als Bezugspunkte aufgefaßt. Die Standardsemantik für VHDL ist operational und kann daher als Transitionssystem verstanden werden. Die Bezugspunkte kann man somit als Zustände des Transitionssystem 2 In VHDL sind freie Eingaben nicht vorgesehen. Wenn ein Eingang freigelassen worden ist, so ist im IEEE Standard definiert, daß dann der Eingang auf einen konstanten Wert gesetzt wird [1, Seite 165, Zeilen ]. 3&(' ist wahr gdw.' von nun an immer wahr ist [3].'*) + ist wahr gdw.' gilt, wenn + zum ersten Mal gilt und + muß irgendwann gelten [5].

4 auffassen, welches die Semantik der Verifikationsbeschaltung darstellt. Somit bedeutet eine Eigenschaft: immer wenn der Zustand eingenommen wird, so wird irgendwann der Zustand % erreicht und im Zustand % gilt. Wie man aus einer VHDL Beschreibung die Zustände und identifiziert, hängt von einem Sprachkonstrukt in VHDL zur Beschreibung von Eigenschaften und von der jeweiligen verwendeten formalen Semantik für VHDL ab (siehe Abschnitt 3.2). Aus den Eigenschaften kann dann automatisch eine Formel in einer temporalen Logik erzeugt werden, die als formale Spezifikation der Verifikationsbeschaltung für die formale Verifikation dient. In einer Verifikationsbeschaltung muß nicht jede zu spezifizierende Eigenschaft als Eigenschaft beschrieben werden. Vielmehr ist eine Eigenschaft als eine allgemeine Basiseigenschaft zu verstehen, auf die sich die gängigen Eigenschaften, die man zur Spezifikation benötigt, zurückführen lassen. Wählt man z.b., so erhält man eine Sicherheitseigenschaft: Im Zustand gilt immer. Wählt man z.b. für " den Anfangszustand, so erhält man eine Lebendigkeitseigenschaft: irgendwann wird der Zustand erreicht, in dem dann gelten muß. Weitere, konkrete Beispiele findet man im Abschnitt 4. 3 Sprachkonstrukte für Verifikationsbeschaltungen Der hier verwendete Sprachgebrauch zur informellen Vorstellung der Spracherweiterungen wird dem IEEE Standard entnommen. Auf eine formale Definition, deren Aufbau von der jeweiligen verwendeten formalen Semantik von VHDL abhängt, wird hier aus Platzgründen verzichtet. 3.1 Stimuli Generator VHDL bietet als Simulationssprache keine Sprachkonstrukte, um den für eine Verifikationsbeschaltung benötigten Nichtdeterminismus im Stimuli Generator ausdrücken zu können. Hierfür wird vorgeschlagen, eine neue VHDL Funktion T ARBITRARY einzuführen. Das Präfix ist irgendein Typ T, der Resultatstyp ist der gleiche Typ T. Jedesmal, wenn während der Ausführung die Funktion aufgerufen wird, ist das Resultat irgendein beliebiger, nichtdeterministisch bestimmter Wert. Der Aufruf dieser Funktion führt nie zu einem Fehler. Die formale Semantik für T ARBITRARY kann in einem Transitionssystem durch eine Zustandsvariable mit dem durch T festgelegten Wertebereich definiert werden, für die weder Anfangszustand noch Zustandsübergang festgelegt ist. Damit erfolgen die Wertebelegungen dieser Zustandsvariablen nichtdeterministisch. Zur Simulation mit einem bestehenden VHDL Simulator kann T ARBITRARY durch beliebige, konkrete Werte vom Typ T ersetzt werden. Dies bietet sich insbesondere bei einer fehlgeschlagenen Verifikation an, welche einen konkreten Testfall als Gegenbeispiel liefert. Dieser Testfall beinhaltet auch konkrete Werte zur Ersetzung von T ARBITRARY.

5 3.2 Beobachter Zur Erstellung eines Berichts durch den Beobachter einer Verifikationsbeschaltung stellt VHDL die sequentielle Berichtsanweisung (engl. assertion statement ) zur Verfügung: assert report " nicht erfüllt" severity ERROR; Damit lassen sich nur Sicherheitseigenschaften und damit insbesondere keine " - Eigenschaften darstellen. Die Berichtsanweisung ist für formale Spezifikationen i.a. nicht ausreichend. Deshalb wird hier vorschlagen, mit der Spezifikationsanweisung 4 eine neue sequentielle Anweisung in VHDL einzuführen: reach_hold_statement ::= reach sequence_of_statements hold boolean_expression ; Die Elaboration oder die Ausführung einer Spezifikationsanweisung führt zu einem Fehler, wenn die Elaboration oder die Ausführung ihres Ausdrucks (boolean_expression) zu einem Fehler führt oder wenn die Berechnung des Ausdrucks nicht FALSE oder TRUE ergibt. Im fehlerfreien Fall ist die Ausführung einer Spezifikationsanweisung gleich der Ausführung ihrer Liste von sequentiellen Anweisungen. Eine Spezifikationsanweisung hat also keinen Einfluß auf die Ausführung einer VHDL Beschreibung (d.h. in dieser Arbeit einer Verifikationsbeschaltung). Stattdessen legt eine Spezifikationsanweisung einer VHDL Beschreibung eine Teilspezifikation für diese VHDL Beschreibung wie folgt fest: Es gilt immer, daß wenn die letzte Anweisung vor der Spezifikationsanweisung ausgeführt worden ist, so wird irgendwann die Ausführung der Liste von Anweisungen zwischen den Schlüsselwörtern reach und hold beendet und nach dieser Beendigung wird der Wert des Ausdrucks der Spezifikationsanweisung berechnet und muß gleich TRUE sein. Eine Spezifikationsanweisung beschreibt also eine Eigenschaft. Hierbei beschreibt den Zustand vor dem Erreichen der Spezifikationsanweisung und den Zustand nach der Beendigung der Spezifikationsanweisung. beschreibt den Ausdruck der Spezifikationsanweisung. Die Spezifikation einer VHDL Beschreibung ergibt sich aus der konjunktiven Verknüpfung der Teilspezifikationen aller Spezifikationsanweisungen der VHDL Beschreibung. Die erlaubten Positionen der Schlüsselwörter reach und hold innerhalb einer Liste sequentieller Anweisungen hängt von der jeweiligen verwendeten formalen Semantiken für VHDL ab. Bei der Verwendung einer formalen Semantik auf der niedrigsten Ebene der möglichen Zeitabstraktionen für formale Semantiken für VHDL [9], der Ebene des vollständigen Simulationszyklus, sind die Positionen beliebig. Bei der Verwendung einer formalen Semantik mit einer Zeitabstraktion, bei der durch einen Zustandsübergang des aus der VHDL Beschreibung erzeugten Transitionssystems alle sequentielle Anweisungen zwischen zwei Synchronisationsanweisungen (engl. wait statement ) in einem Schritt abgearbeitet werden, dürfen reach und hold nur direkt hinter einer Synchronisationsanweisung stehen. Zur Simulation mit einem bestehenden VHDL Simulator kann die Spezifikationsanweisung durch Kombinationen entsprechender Berichtsanweisungen ersetzt werden. Somit 4 engl. specification statement

6 kann insbesondere durch Simulation getestet werden, ob die in einer Verifikationsbeschaltung beschriebenen Eigenschaften tatsächlich der gewünschten Spezifikation entsprechen. reach s hold ; kann z.b. ersetzt werden durch 1 report "reach/hold gestartet..." severity NOTE; 2 s 3 report "...reach/hold beendet." severity NOTE; 4 assert report " nicht erf"ullt" severity ERROR; Wenn zu einer generierten Meldung aus der Zeile 1 keine entsprechende Meldung aus der Zeile 3 generiert wird oder eine Meldung aus der Zeile 4 generiert wird, so ist die beschriebene Eigenschaft verletzt. 4 Beispiele Im folgenden werden Beispiele wiedergegeben, die einige typische Eigenschaften darstellen, die die Umgebung besitzen soll (Abschnitt 4.1) oder die für die Implementierung spezifiziert werden sollen (Abschnitt 4.2). 4.1 Stimuli Generator Man stelle sich eine Aufzugsteuerung vor, bei der ein Detektor das Signal door_open genau dann auf TRUE setzt, wenn die Aufzugtür offen ist. door_open soll mit der steigenden Flanke des Takts Clk synchronisiert sein: 1 process is begin 2 wait until Clk = 1 ; 3 door_open <= BOOLEAN ARBITRARY; 4 end process; Man kann z.b. auch ausdrücken, daß door_open nicht genau synchron mit der steigenden Flanke des Takts ist, sondern zwischen 2 ns und 7 ns nachläuft (sei ns hier die kleinste Zeiteinheit): 1 process is 2 type R is range 2 to 7; 3 begin 2 wait until Clk = 1 ; 3 door_open <= 4 BOOLEAN ARBITRARY after TIME VAL(R ARBITRARY); 5 end process;

7 4.2 Beobachter Man betrachte wieder die Aufzugsteuerung. Der Aufzug soll mit dem Signal go_up gesteuert nach oben und mit go_down nach unten fahren. Man möchte spezifizieren, daß die Aufzugtür nie offen ist, wenn der Aufzug fahren soll: 1 process is begin 2 wait until door_open and (go_up or go_down); 3 reach hold FALSE; 4 end process; Wenn jemals die Aufzugtür offen ist und der Aufzug fährt, so wird die Spezifikationsanweisung in Zeile 3 erreicht. Dann soll FALSE gelten. Da FALSE nie gilt, ist damit auch die Spezifikation verletzt. Eine Spezifikationsanweisung muß nicht unbedingt immer an eine Synchronisationsanweisung gekoppelt sein. Man betrachte eine datenabhängige Schleife ohne Synchronisationsanweisung, wie sie in puren Verhaltensbeschreibungen auf hohen Entwurfsebenen vorkommen kann. Es soll spezifiziert werden, das die Schleife immer terminiert: 1 process is begin... 2 reach 3 while x < y loop... 4 end loop; 5 hold TRUE;... 6 end process; Man betrachte ein Protokoll: immer wenn eine steigende Flanke für das Signal request stattfindet, soll irgendwann eine fallende Flanke für das Signal enable folgen (Der Einfachheit halber sei im folgenden dabei davon ausgegangen, daß die Umgebung nach der Generierung einer einer steigenden Flanke für request solange keine weitere steigende Flanke für request generiert, bis eine fallende Flanke für enable stattgefunden hat): 1 process is begin 2 wait until request = 1 ; 3 reach 4 wait until enable = 0 ; 5 hold TRUE; 6 end process; Man kann auch den Begriff irgendwann weiter konkretisieren: immer wenn eine steigende Flanke für das Signal request stattfindet, soll spätestens nach 72 ns eine fallende Flanke für das Signal enable stattfinden:

8 1 process is begin 2 wait until request = 1 ; 3 reach 4 wait until enable for 72 ns; 5 hold enable = 0 ; 6 end process; Anstatt einer Zeit kann man auch ein Intervall spezifizieren: immer wenn eine steigende Flanke für das Signal request stattfindet, so soll frühestens nach 48 ns und spätestens nach 72 ns eine fallende Flanke für das Signal enable stattfinden: 1 process is begin 2 wait until request = 1 ; 3 reach 4 wait until enable for 48 ns; 5 hold not enable EVENT; 6 reach 7 wait until enable for 72 ns - 48 ns; 8 hold enable = 0 ; 9 end process; Die vorgestellten Beispiele erfassen natürlich nicht alle Ausdrucksmöglichkeiten einer Verifikationsbeschaltung. Weitere Verknüpfungen von Aussagen kann man durch die Beschreibung von zusätzlichen Automaten in einer Verifikationsbeschaltung durchführen, welche z.b. die Verknüpfungen von Ereignissen auf Signalen beschreiben. Die akzeptierenden Zustände dieser Automaten können dann durch die Spezifikationsanweisungen festgelegt werden. Ebenso lassen sich die unerwünschten Zustandsübergange durch Spezifikationsanweisungen ausschließen. Weiterhin kann man zeigen, daß sich in einer Verifikationsbeschaltung Spezifikationen in linearer temporaler Logik beschreiben lassen. Details hierzu findet man in [8]. 5 Implementierung Abb. 3 ordnet diese Arbeit in unsere anderen Arbeiten zur Hardware Verifikation ein. Die gestrichelt gekennzeichneten Teile sind noch nicht implementiert. Alle anderen Arbeiten sind bereits implementiert worden. Der Entwerfer erstellt zuerst seine Verifikationsbeschaltung. Diese kann er direkt vollständig in VHDL beschreiben oder durch das Verfahren in [5] den Beobachter aus einer LTL Beschreibung generieren lassen. Eine Umsetzung von Zeitdiagrammen zur Spezifikation wie z.b. in [10] in eine Verifikationsbeschaltung ist für zukünftige Arbeiten denkbar. Im nächsten Schritt kann der Entwerfer durch die Ersetzung der neuen Sprachelemente in der Verifikationsbeschaltung eine simulierbare VHDL Beschreibung erzeugen, um durch Simulation erste, einfache Fehler zu entdecken und um Vertrauen in seine Spezifikation zu gewinnen.

9 LTL Zeitdiagramme [Schn96] Ausblick VHDL dieses Papier Ausblick dieses Papier [ReKr96] [Reet95] VHDL-Simulator Gegenbeispiel CTL-Formel Kripke-Struktur Theorem- beweiser Modellprüfer für HOL Abbildung 3: Verifikationsprozeß Im dritten Schritt kann dann aus der so verbesserten Verifikationsbeschaltung ein CTL Modellprüfungsproblem automatisch erzeugt werden, welches mit SMV [6] verifiziert werden kann. Hierzu wird durch das Verfahren in [9] eine Krikpe Struktur als formale Implementierung erzeugt. Die CTL Formel als formale Spezifikation entsteht aus der Konjunktion der entsprechenden CTL Teilformeln für die reach/hold Anweisungen in der Verifikationsbeschaltung. Durch das Theorem!!!! [5] wird aus der temporalen Formel für eine reach/hold Anweisung eine CTL Formel der Form: "! %!!. Falls die Verifikation fehlschlägt, so erzeugt SMV ein Gegenbeispiel. Für zukünftige Arbeiten ist es denkbar, aus dem Gegenbeispiel die Attribute T ARBITRARY durch die Werte aus dem Gegenbeispiel zu ersetzen, um das Gegenbeispiel durch die Möglichkeiten eines VHDL Simulators leichter verständlich zu machen. In diesem Papier ist nicht näher diskutiert worden, daß der hier vorgestellte Ansatz sich durch unsere Arbeit in [11] auch auf die formale Verifikation von VHDL Beschreibungen mit einem Theorembeweiser für Logik höherer Ordnung (HOL) angewandt werden kann. Weiterhin kann hier aus Platzgründen auch nicht im Detail auf experimentelle Ergebnisse eingegangen werden. Ergebnisse unter der Verwendung einer formalen Semantik für VHDL von [9] findet man in [8]. Dort werden verschiedene Ergebnisse für den Single Pulser [12] vorgestellt, einer Benchmarkschaltung für die formale Verifikation, bei der nicht die Größe der Verifikationsaufgabe, sondern die formale Spezifikation ein Problem darstellt. Durch die Verwendung eines Automaten als Beobachter einer Verifikationsbeschaltung konnte eine korrekte Implementierung durch Modellprüfung mit dem SMV in Sekunden auf einer SUN Sparc Ultra 1 verifiziert werden.

10 Literatur [1] ANSI/IEEE Std IEEE Standard VHDL Language Reference Manual. IEEE, New York, USA, Juni [2] A. Gupta. Formal hardware verification methods: A survey. Journal of Formal Methods in System Design, 1: , [3] E.A. Emerson. Temporal and Modal Logic. In J. van Leeuwen, editor, Handbook of Theoretical Computer Science, volume B, pages , Amsterdam, Elsevier Science Publishers. [4] J. van Tassel and D. Hemmendinger. Toward Formal Verification of VHDL Specifications. In International Workshop on Applied Formal Methods for Correct VLSI Design, pages IFIP WG 10.2/WG 10.5, North-Holland, 1990, [5] K. Schneider. Ein einheitlicher Ansatz zur Unterstützung von Abstraktionsmechanismen der Hardwareverifikation, volume 116 of DISKI (Dissertationen zur Künstlichen Intelligenz). Infix Verlag, Sankt Augustin, ISBN [6] K.L. McMillan. Symbolic Model Checking. Kluwer Academic Publishers, [7] L.M. Augustin, B.A. Gennart, Y.Huh, D.C. Luckham, and A.G. Stanculescu. Verification of VHDL designs using VAL. In Proc. of the 25th Design Automation Conference, pages ACM/IEEE, [8] R. Reetz, K. Schneider, and T. Kropf. Verificationbench: Specification and verification using VHDL. Technical Report SFB358-C2-7/96, Universität Karlsruhe, Institut für Rechnerentwurf und Fehlertoleranz, December [9] R. Reetz and T. Kropf. Evaluating possibilities for formally sound simulation and verification of VHDL. In Satnam Singh and M. Sheeran, editors, Designing Correct Circuits, Båstad, Schweden, September Workshops in Computing, Springer. [10] R. Schlör and W. Damm. Specification and verification of system-level hardware design using timing diagrams. In G. Goossens and B. Lin, editors, The European Conference on Design Automation with The European Event in ASIC Design, pages , Paris, France, February IEEE Computer Society Press. [11] R. Reetz. Deep Embedding VHDL. In E.T. Schubert, P.J. Windley, and J. Alves- Foss, editors, 8th International Workshop on Higher Order Logic Theorem Proving and its Applications, volume 971 of Lecture Notes in Computer Science, pages , Aspen Grove, Utah, USA, September Springer-Verlag. [12] S.D. Johnson, P.S. Miner, and A. Camilleri. Studies of the single pulser in various reasoning systems. In T. Kropf and R. Kumar, editors, Proc. 2nd International Conference on Theorem Provers in Circuit Design (TPCD94), volume 901 of Lecture Notes in Computer Science, pages , Bad Herrenalb, Germany, September Springer. published 1995.

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

Einführung. Vorlesungen zur Komplexitätstheorie: Reduktion und Vollständigkeit (3) Vorlesungen zur Komplexitätstheorie. K-Vollständigkeit (1/5)

Einführung. Vorlesungen zur Komplexitätstheorie: Reduktion und Vollständigkeit (3) Vorlesungen zur Komplexitätstheorie. K-Vollständigkeit (1/5) Einführung 3 Vorlesungen zur Komplexitätstheorie: Reduktion und Vollständigkeit (3) Univ.-Prof. Dr. Christoph Meinel Hasso-Plattner-Institut Universität Potsdam, Deutschland Hatten den Reduktionsbegriff

Mehr

Whitebox-Tests: Allgemeines

Whitebox-Tests: Allgemeines -Tests: Allgemeines Andere Bezeichnungen Logic driven, Strukturelles Der Tester entwickelt Testfälle aus einer Betrachtung der Ablauflogik des Programms unter Berücksichtigung der Spezifikation Intuitiv

Mehr

Grundlagen der Theoretischen Informatik, SoSe 2008

Grundlagen der Theoretischen Informatik, SoSe 2008 1. Aufgabenblatt zur Vorlesung Grundlagen der Theoretischen Informatik, SoSe 2008 (Dr. Frank Hoffmann) Lösung von Manuel Jain und Benjamin Bortfeldt Aufgabe 2 Zustandsdiagramme (6 Punkte, wird korrigiert)

Mehr

Erstellen von x-y-diagrammen in OpenOffice.calc

Erstellen von x-y-diagrammen in OpenOffice.calc Erstellen von x-y-diagrammen in OpenOffice.calc In dieser kleinen Anleitung geht es nur darum, aus einer bestehenden Tabelle ein x-y-diagramm zu erzeugen. D.h. es müssen in der Tabelle mindestens zwei

Mehr

Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken.

Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken. Seite erstellen Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken. Es öffnet sich die Eingabe Seite um eine neue Seite zu erstellen. Seiten Titel festlegen Den neuen

Mehr

Informationsblatt Induktionsbeweis

Informationsblatt Induktionsbeweis Sommer 015 Informationsblatt Induktionsbeweis 31. März 015 Motivation Die vollständige Induktion ist ein wichtiges Beweisverfahren in der Informatik. Sie wird häufig dazu gebraucht, um mathematische Formeln

Mehr

SEP 114. Design by Contract

SEP 114. Design by Contract Design by Contract SEP 114 Design by Contract Teile das zu entwickelnde Programm in kleine Einheiten (Klassen, Methoden), die unabhängig voneinander entwickelt und überprüft werden können. Einheiten mit

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

How to do? Projekte - Zeiterfassung

How to do? Projekte - Zeiterfassung How to do? Projekte - Zeiterfassung Stand: Version 4.0.1, 18.03.2009 1. EINLEITUNG...3 2. PROJEKTE UND STAMMDATEN...4 2.1 Projekte... 4 2.2 Projektmitarbeiter... 5 2.3 Tätigkeiten... 6 2.4 Unterprojekte...

Mehr

4. Jeder Knoten hat höchstens zwei Kinder, ein linkes und ein rechtes.

4. Jeder Knoten hat höchstens zwei Kinder, ein linkes und ein rechtes. Binäre Bäume Definition: Ein binärer Baum T besteht aus einer Menge von Knoten, die durch eine Vater-Kind-Beziehung wie folgt strukturiert ist: 1. Es gibt genau einen hervorgehobenen Knoten r T, die Wurzel

Mehr

Einsatz von xalerator. bei den. Ergo Direkt Versicherungen. Bereich Versicherungstechnik/Leben

Einsatz von xalerator. bei den. Ergo Direkt Versicherungen. Bereich Versicherungstechnik/Leben Einsatz von xalerator bei den Ergo Direkt Versicherungen Bereich Versicherungstechnik/Leben Einführung Die Ergo Direkt Versicherungen wurden 1984 als Finanzdienstleistungs-Segment des Quelle Versandhandels

Mehr

Bedienungsanleitung: Onlineverifizierung von qualifiziert signierten PDF-Dateien

Bedienungsanleitung: Onlineverifizierung von qualifiziert signierten PDF-Dateien Sie haben von der VR DISKONTBANK GmbH ein signiertes PDF-Dokument (i.d.r. eine Zentralregulierungsliste mit dem Status einer offiziellen Rechnung) erhalten und möchten nun die Signatur verifizieren, um

Mehr

GEVITAS Farben-Reaktionstest

GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest Inhalt 1. Allgemeines... 1 2. Funktionsweise der Tests... 2 3. Die Ruhetaste und die Auslösetaste... 2 4. Starten der App Hauptmenü... 3 5. Auswahl

Mehr

Windows. Workshop Internet-Explorer: Arbeiten mit Favoriten, Teil 1

Windows. Workshop Internet-Explorer: Arbeiten mit Favoriten, Teil 1 Workshop Internet-Explorer: Arbeiten mit Favoriten, Teil 1 Wenn der Name nicht gerade www.buch.de oder www.bmw.de heißt, sind Internetadressen oft schwer zu merken Deshalb ist es sinnvoll, die Adressen

Mehr

So nutzen Sie VOICEMEETING. Vereinbaren Sie mit allen Konferenzteilnehmern den genauen Zeitpunkt, zu dem die Konferenz stattfinden soll.

So nutzen Sie VOICEMEETING. Vereinbaren Sie mit allen Konferenzteilnehmern den genauen Zeitpunkt, zu dem die Konferenz stattfinden soll. Schnellstart / Kompakt Seite 1 Während der Konferenz So nutzen Sie VOICEMEETING Vereinbaren Sie mit allen Konferenzteilnehmern den genauen Zeitpunkt, zu dem die Konferenz stattfinden soll. Ausserdem teilen

Mehr

Datensicherung. Beschreibung der Datensicherung

Datensicherung. Beschreibung der Datensicherung Datensicherung Mit dem Datensicherungsprogramm können Sie Ihre persönlichen Daten problemlos Sichern. Es ist möglich eine komplette Datensicherung durchzuführen, aber auch nur die neuen und geänderten

Mehr

Satzhilfen Publisher Seite Einrichten

Satzhilfen Publisher Seite Einrichten Satzhilfen Publisher Seite Einrichten Es gibt verschiedene Möglichkeiten die Seite einzurichten, wir fangen mit der normalen Version an, Seite einrichten auf Format A5 Wählen Sie zunächst Datei Seite einrichten,

Mehr

4 Aufzählungen und Listen erstellen

4 Aufzählungen und Listen erstellen 4 4 Aufzählungen und Listen erstellen Beim Strukturieren von Dokumenten und Inhalten stellen Listen und Aufzählungen wichtige Werkzeuge dar. Mit ihnen lässt sich so ziemlich alles sortieren, was auf einer

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

Leichte-Sprache-Bilder

Leichte-Sprache-Bilder Leichte-Sprache-Bilder Reinhild Kassing Information - So geht es 1. Bilder gucken 2. anmelden für Probe-Bilder 3. Bilder bestellen 4. Rechnung bezahlen 5. Bilder runterladen 6. neue Bilder vorschlagen

Mehr

Grundbegriffe der Informatik

Grundbegriffe der Informatik Grundbegriffe der Informatik Einheit 15: Reguläre Ausdrücke und rechtslineare Grammatiken Thomas Worsch Universität Karlsruhe, Fakultät für Informatik Wintersemester 2008/2009 1/25 Was kann man mit endlichen

Mehr

Fachdidaktik der Informatik 18.12.08 Jörg Depner, Kathrin Gaißer

Fachdidaktik der Informatik 18.12.08 Jörg Depner, Kathrin Gaißer Fachdidaktik der Informatik 18.12.08 Jörg Depner, Kathrin Gaißer Klassendiagramme Ein Klassendiagramm dient in der objektorientierten Softwareentwicklung zur Darstellung von Klassen und den Beziehungen,

Mehr

5.2 Neue Projekte erstellen

5.2 Neue Projekte erstellen 5.2 Neue Projekte erstellen Das Bearbeiten von bestehenden Projekten und Objekten ist ja nicht schlecht wie aber können Sie neue Objekte hinzufügen oder gar völlig neue Projekte erstellen? Die Antwort

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Software-Engineering SS03. Zustandsautomat

Software-Engineering SS03. Zustandsautomat Zustandsautomat Definition: Ein endlicher Automat oder Zustandsautomat besteht aus einer endlichen Zahl von internen Konfigurationen - Zustände genannt. Der Zustand eines Systems beinhaltet implizit die

Mehr

Mathematik. UND/ODER Verknüpfung. Ungleichungen. Betrag. Intervall. Umgebung

Mathematik. UND/ODER Verknüpfung. Ungleichungen. Betrag. Intervall. Umgebung Mathematik UND/ODER Verknüpfung Ungleichungen Betrag Intervall Umgebung Stefan Gärtner 004 Gr Mathematik UND/ODER Seite UND Verknüpfung Kommentar Aussage Symbolform Die Aussagen Hans kann schwimmen p und

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Zwischenablage (Bilder, Texte,...)

Zwischenablage (Bilder, Texte,...) Zwischenablage was ist das? Informationen über. die Bedeutung der Windows-Zwischenablage Kopieren und Einfügen mit der Zwischenablage Vermeiden von Fehlern beim Arbeiten mit der Zwischenablage Bei diesen

Mehr

Inhalt. 1 Einleitung AUTOMATISCHE DATENSICHERUNG AUF EINEN CLOUDSPEICHER

Inhalt. 1 Einleitung AUTOMATISCHE DATENSICHERUNG AUF EINEN CLOUDSPEICHER AUTOMATISCHE DATENSICHERUNG AUF EINEN CLOUDSPEICHER Inhalt 1 Einleitung... 1 2 Einrichtung der Aufgabe für die automatische Sicherung... 2 2.1 Die Aufgabenplanung... 2 2.2 Der erste Testlauf... 9 3 Problembehebung...

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

Semantik von Formeln und Sequenzen

Semantik von Formeln und Sequenzen Semantik von Formeln und Sequenzen 33 Grundidee der Verwendung von Logik im Software Entwurf Syntax: Menge von Formeln = Axiome Ax K ist beweisbar Formel ϕ beschreiben Korrektkeit Vollständigkeit beschreibt

Mehr

Beweisbar sichere Verschlüsselung

Beweisbar sichere Verschlüsselung Beweisbar sichere Verschlüsselung ITS-Wahlpflichtvorlesung Dr. Bodo Möller Ruhr-Universität Bochum Horst-Görtz-Institut für IT-Sicherheit Lehrstuhl für Kommunikationssicherheit bmoeller@crypto.rub.de 6

Mehr

In 12 Schritten zum mobilen PC mit Paragon Drive Copy 11 und Microsoft Windows Virtual PC

In 12 Schritten zum mobilen PC mit Paragon Drive Copy 11 und Microsoft Windows Virtual PC PARAGON Technologie GmbH, Systemprogrammierung Heinrich-von-Stephan-Str. 5c 79100 Freiburg, Germany Tel. +49 (0) 761 59018201 Fax +49 (0) 761 59018130 Internet www.paragon-software.com Email sales@paragon-software.com

Mehr

Suche schlecht beschriftete Bilder mit Eigenen Abfragen

Suche schlecht beschriftete Bilder mit Eigenen Abfragen Suche schlecht beschriftete Bilder mit Eigenen Abfragen Ist die Bilderdatenbank über einen längeren Zeitraum in Benutzung, so steigt die Wahrscheinlichkeit für schlecht beschriftete Bilder 1. Insbesondere

Mehr

Orderarten im Wertpapierhandel

Orderarten im Wertpapierhandel Orderarten im Wertpapierhandel Varianten bei einer Wertpapierkauforder 1. Billigst Sie möchten Ihre Order so schnell wie möglich durchführen. Damit kaufen Sie das Wertpapier zum nächstmöglichen Kurs. Kurs

Mehr

Ihre Interessentendatensätze bei inobroker. 1. Interessentendatensätze

Ihre Interessentendatensätze bei inobroker. 1. Interessentendatensätze Ihre Interessentendatensätze bei inobroker Wenn Sie oder Ihre Kunden die Prozesse von inobroker nutzen, werden Interessentendatensätze erzeugt. Diese können Sie direkt über inobroker bearbeiten oder mit

Mehr

Informatik Kurs Simulation. Hilfe für den Consideo Modeler

Informatik Kurs Simulation. Hilfe für den Consideo Modeler Hilfe für den Consideo Modeler Consideo stellt Schulen den Modeler kostenlos zur Verfügung. Wenden Sie sich an: http://consideo-modeler.de/ Der Modeler ist ein Werkzeug, das nicht für schulische Zwecke

Mehr

Kommunikations-Management

Kommunikations-Management Tutorial: Wie importiere und exportiere ich Daten zwischen myfactory und Outlook? Im vorliegenden Tutorial lernen Sie, wie Sie in myfactory Daten aus Outlook importieren Daten aus myfactory nach Outlook

Mehr

Abamsoft Finos im Zusammenspiel mit shop to date von DATA BECKER

Abamsoft Finos im Zusammenspiel mit shop to date von DATA BECKER Abamsoft Finos im Zusammenspiel mit shop to date von DATA BECKER Abamsoft Finos in Verbindung mit der Webshopanbindung wurde speziell auf die Shop-Software shop to date von DATA BECKER abgestimmt. Mit

Mehr

Second Steps in eport 2.0 So ordern Sie Credits und Berichte

Second Steps in eport 2.0 So ordern Sie Credits und Berichte Second Steps in eport 2.0 So ordern Sie Credits und Berichte Schritt 1: Credits kaufen, um Zugangscodes generieren zu können Wählen Sie Credits verwalten und klicken Sie auf Credits kaufen. Geben Sie nun

Mehr

geben. Die Wahrscheinlichkeit von 100% ist hier demnach nur der Gehen wir einmal davon aus, dass die von uns angenommenen

geben. Die Wahrscheinlichkeit von 100% ist hier demnach nur der Gehen wir einmal davon aus, dass die von uns angenommenen geben. Die Wahrscheinlichkeit von 100% ist hier demnach nur der Vollständigkeit halber aufgeführt. Gehen wir einmal davon aus, dass die von uns angenommenen 70% im Beispiel exakt berechnet sind. Was würde

Mehr

Diplomarbeit. Konzeption und Implementierung einer automatisierten Testumgebung. Thomas Wehrspann. 10. Dezember 2008

Diplomarbeit. Konzeption und Implementierung einer automatisierten Testumgebung. Thomas Wehrspann. 10. Dezember 2008 Konzeption und Implementierung einer automatisierten Testumgebung, 10. Dezember 2008 1 Gliederung Einleitung Softwaretests Beispiel Konzeption Zusammenfassung 2 Einleitung Komplexität von Softwaresystemen

Mehr

Datenübernahme easyjob 3.0 zu easyjob 4.0

Datenübernahme easyjob 3.0 zu easyjob 4.0 Datenübernahme easyjob 3.0 zu easyjob 4.0 Einführung...3 Systemanforderung easyjob 4.0...3 Vorgehensweise zur Umstellung zu easyjob 4.0...4 Installation easyjob 4.0 auf dem Server und Arbeitsstationen...4

Mehr

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten In dem Virtuellen Seminarordner werden für die Teilnehmerinnen und Teilnehmer des Seminars alle für das Seminar wichtigen Informationen,

Mehr

Speicher in der Cloud

Speicher in der Cloud Speicher in der Cloud Kostenbremse, Sicherheitsrisiko oder Basis für die unternehmensweite Kollaboration? von Cornelius Höchel-Winter 2013 ComConsult Research GmbH, Aachen 3 SYNCHRONISATION TEUFELSZEUG

Mehr

In 15 einfachen Schritten zum mobilen PC mit Paragon Drive Copy 10 und Microsoft Windows Virtual PC

In 15 einfachen Schritten zum mobilen PC mit Paragon Drive Copy 10 und Microsoft Windows Virtual PC PARAGON Technologie GmbH, Systemprogrammierung Heinrich-von-Stephan-Str. 5c 79100 Freiburg, Germany Tel. +49 (0) 761 59018201 Fax +49 (0) 761 59018130 Internet www.paragon-software.com Email sales@paragon-software.com

Mehr

WinWerk. Prozess 6a Rabatt gemäss Vorjahresverbrauch. KMU Ratgeber AG. Inhaltsverzeichnis. Im Ifang 16 8307 Effretikon

WinWerk. Prozess 6a Rabatt gemäss Vorjahresverbrauch. KMU Ratgeber AG. Inhaltsverzeichnis. Im Ifang 16 8307 Effretikon WinWerk Prozess 6a Rabatt gemäss Vorjahresverbrauch 8307 Effretikon Telefon: 052-740 11 11 Telefax: 052-740 11 71 E-Mail info@kmuratgeber.ch Internet: www.winwerk.ch Inhaltsverzeichnis 1 Ablauf der Rabattverarbeitung...

Mehr

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster Es gibt in Excel unter anderem die so genannten Suchfunktionen / Matrixfunktionen Damit können Sie Werte innerhalb eines bestimmten Bereichs suchen. Als Beispiel möchte ich die Funktion Sverweis zeigen.

Mehr

Der einfache Weg zum CFX-Demokonto

Der einfache Weg zum CFX-Demokonto Der einfache Weg zum CFX-Demokonto Diese Anleitung hilft Ihnen bei der Eröffnung eines Demokontos beim CFX Broker. Jeder Schritt bis zur vollständigen Eröffnung wird Ihnen im Folgenden erklärt. Zur besseren

Mehr

! " # $ " % & Nicki Wruck worldwidewruck 08.02.2006

!  # $  % & Nicki Wruck worldwidewruck 08.02.2006 !"# $ " %& Nicki Wruck worldwidewruck 08.02.2006 Wer kennt die Problematik nicht? Die.pst Datei von Outlook wird unübersichtlich groß, das Starten und Beenden dauert immer länger. Hat man dann noch die.pst

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Whitepaper. Produkt: combit Relationship Manager 7. combit Relationship Manager email-rückläufer Script. combit GmbH Untere Laube 30 78462 Konstanz

Whitepaper. Produkt: combit Relationship Manager 7. combit Relationship Manager email-rückläufer Script. combit GmbH Untere Laube 30 78462 Konstanz combit GmbH Untere Laube 30 78462 Konstanz Whitepaper Produkt: combit Relationship Manager 7 combit Relationship Manager email-rückläufer Script Inhalt Einleitung 3 Notwendige Anpassungen 3 crm Solution

Mehr

7 Rechnen mit Polynomen

7 Rechnen mit Polynomen 7 Rechnen mit Polynomen Zu Polynomfunktionen Satz. Zwei Polynomfunktionen und f : R R, x a n x n + a n 1 x n 1 + a 1 x + a 0 g : R R, x b n x n + b n 1 x n 1 + b 1 x + b 0 sind genau dann gleich, wenn

Mehr

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben.

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben. Aufgabe 1.30 : Schreibe ein Programm DM_in_Euro.java zur Umrechnung eines DM-Betrags in Euro unter Verwendung einer Konstanten für den Umrechnungsfaktor. Das Programm soll den DM-Betrag als Parameter verarbeiten.

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

3. GLIEDERUNG. Aufgabe:

3. GLIEDERUNG. Aufgabe: 3. GLIEDERUNG Aufgabe: In der Praxis ist es für einen Ausdruck, der nicht alle Detaildaten enthält, häufig notwendig, Zeilen oder Spalten einer Tabelle auszublenden. Auch eine übersichtlichere Darstellung

Mehr

Qt-Projekte mit Visual Studio 2005

Qt-Projekte mit Visual Studio 2005 Qt-Projekte mit Visual Studio 2005 Benötigte Programme: Visual Studio 2005 Vollversion, Microsoft Qt 4 Open Source s. Qt 4-Installationsanleitung Tabelle 1: Benötigte Programme für die Qt-Programmierung

Mehr

Programmierkurs Java

Programmierkurs Java Programmierkurs Java Dr. Dietrich Boles Aufgaben zu UE16-Rekursion (Stand 09.12.2011) Aufgabe 1: Implementieren Sie in Java ein Programm, das solange einzelne Zeichen vom Terminal einliest, bis ein #-Zeichen

Mehr

Online Schulung Anmerkungen zur Durchführung

Online Schulung Anmerkungen zur Durchführung Online Schulung Anmerkungen zur Durchführung 1.0 Einleitung Vielen Dank, dass Sie sich für die Online Schulung von SoloProtect entschieden haben. Nachfolgend finden Sie Informationen für Identicomnutzer

Mehr

Programmteil Zeiterfassung für Projekt und Mitarbeiter

Programmteil Zeiterfassung für Projekt und Mitarbeiter Programmteil Zeiterfassung für Projekt und Mitarbeiter Version: 2.01 Datum: 24.02.2004 Modul: Projektverwaltung, Zeiterfassung Programmaufruf: Stammdaten Grunddaten Lohnarten Stammdaten Mitarbeiter Belege

Mehr

Das große ElterngeldPlus 1x1. Alles über das ElterngeldPlus. Wer kann ElterngeldPlus beantragen? ElterngeldPlus verstehen ein paar einleitende Fakten

Das große ElterngeldPlus 1x1. Alles über das ElterngeldPlus. Wer kann ElterngeldPlus beantragen? ElterngeldPlus verstehen ein paar einleitende Fakten Das große x -4 Alles über das Wer kann beantragen? Generell kann jeder beantragen! Eltern (Mütter UND Väter), die schon während ihrer Elternzeit wieder in Teilzeit arbeiten möchten. Eltern, die während

Mehr

1. Einführung. 2. Weitere Konten anlegen

1. Einführung. 2. Weitere Konten anlegen 1. Einführung In orgamax stehen Ihnen die gängigsten Konten des Kontenrahmens SKR03 und SKR04 zur Verfügung. Damit sind im Normalfall alle Konten abgedeckt, die Sie zur Verbuchung benötigen. Eine ausführliche

Mehr

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen:

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: VBA Programmierung mit Excel Schleifen 1/6 Erweiterung der Aufgabe Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: Es müssen also 11 (B L) x 35 = 385 Zellen berücksichtigt

Mehr

Kurzanleitung MAN E-Learning (WBT)

Kurzanleitung MAN E-Learning (WBT) Kurzanleitung MAN E-Learning (WBT) Um Ihr gebuchtes E-Learning zu bearbeiten, starten Sie bitte das MAN Online- Buchungssystem (ICPM / Seminaris) unter dem Link www.man-academy.eu Klicken Sie dann auf

Mehr

Handbuch B4000+ Preset Manager

Handbuch B4000+ Preset Manager Handbuch B4000+ Preset Manager B4000+ authentic organ modeller Version 0.6 FERROFISH advanced audio applications Einleitung Mit der Software B4000+ Preset Manager können Sie Ihre in der B4000+ erstellten

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Repetitionsaufgaben Wurzelgleichungen

Repetitionsaufgaben Wurzelgleichungen Repetitionsaufgaben Wurzelgleichungen Inhaltsverzeichnis A) Vorbemerkungen B) Lernziele C) Theorie mit Aufgaben D) Aufgaben mit Musterlösungen 4 A) Vorbemerkungen Bitte beachten Sie: Bei Wurzelgleichungen

Mehr

Monitoring-Service Anleitung

Monitoring-Service Anleitung Anleitung 1. Monitoring in CrefoDirect Wie kann Monitoring über CrefoDirect bestellt werden? Bestellung von Monitoring beim Auskunftsabruf Beim Auskunftsabruf kann das Monitoring direkt mitbestellt werden.

Mehr

Handbuch ECDL 2003 Basic Modul 5: Datenbank Access starten und neue Datenbank anlegen

Handbuch ECDL 2003 Basic Modul 5: Datenbank Access starten und neue Datenbank anlegen Handbuch ECDL 2003 Basic Modul 5: Datenbank Access starten und neue Datenbank anlegen Dateiname: ecdl5_01_02_documentation_standard.doc Speicherdatum: 14.02.2005 ECDL 2003 Basic Modul 5 Datenbank - Access

Mehr

Leitfaden trixikfz Online

Leitfaden trixikfz Online Leitfaden trixikfz Online Inhalt Eine neue Berechnung durchführen... 2 Angebote erstellen, Leistungsdetails zum Tarif, Deckungsauftrag erstellen... 6 Angebot erstellen... 7 Leistungsdetails zum Tarif...

Mehr

Prädikatenlogik - Micromodels of Software

Prädikatenlogik - Micromodels of Software Prädikatenlogik - Micromodels of Software Philipp Koch Seminar Logik für Informatiker Universität Paderborn Revision: 30. Mai 2005 1 Inhaltsverzeichnis 1 Motivation 3 2 Modelle 3 2.1 Definition eines Modells.......................

Mehr

Benutzerhandbuch. Leitfaden zur Benutzung der Anwendung für sicheren Dateitransfer.

Benutzerhandbuch. Leitfaden zur Benutzung der Anwendung für sicheren Dateitransfer. Benutzerhandbuch Leitfaden zur Benutzung der Anwendung für sicheren Dateitransfer. 1 Startseite Wenn Sie die Anwendung starten, können Sie zwischen zwei Möglichkeiten wählen 1) Sie können eine Datei für

Mehr

Neuerungen PRIMUS 2014

Neuerungen PRIMUS 2014 SEPA Der Zahlungsverkehr wird europäisch Ist Ihr Unternehmen fit für SEPA? Mit PRIMUS 2014 sind Sie auf SEPA vorbereitet. SEPA betrifft auch Sie. Spätestens ab August 2014 gibt es vor der Single European

Mehr

Arbeiten mit UMLed und Delphi

Arbeiten mit UMLed und Delphi Arbeiten mit UMLed und Delphi Diese Anleitung soll zeigen, wie man Klassen mit dem UML ( Unified Modeling Language ) Editor UMLed erstellt, in Delphi exportiert und dort so einbindet, dass diese (bis auf

Mehr

Binäre Bäume. 1. Allgemeines. 2. Funktionsweise. 2.1 Eintragen

Binäre Bäume. 1. Allgemeines. 2. Funktionsweise. 2.1 Eintragen Binäre Bäume 1. Allgemeines Binäre Bäume werden grundsätzlich verwendet, um Zahlen der Größe nach, oder Wörter dem Alphabet nach zu sortieren. Dem einfacheren Verständnis zu Liebe werde ich mich hier besonders

Mehr

4. BEZIEHUNGEN ZWISCHEN TABELLEN

4. BEZIEHUNGEN ZWISCHEN TABELLEN 4. BEZIEHUNGEN ZWISCHEN TABELLEN Zwischen Tabellen können in MS Access Beziehungen bestehen. Durch das Verwenden von Tabellen, die zueinander in Beziehung stehen, können Sie Folgendes erreichen: Die Größe

Mehr

Netzwerk einrichten unter Windows

Netzwerk einrichten unter Windows Netzwerk einrichten unter Windows Schnell und einfach ein Netzwerk einrichten unter Windows. Kaum ein Rechner kommt heute mehr ohne Netzwerkverbindungen aus. In jedem Rechner den man heute kauft ist eine

Mehr

Umgang mit der Software ebuddy Ändern von IP Adresse, Firmware und erstellen von Backups von ewon Geräten.

Umgang mit der Software ebuddy Ändern von IP Adresse, Firmware und erstellen von Backups von ewon Geräten. ewon - Technical Note Nr. 001 Version 1.3 Umgang mit der Software ebuddy Ändern von IP Adresse, Firmware und erstellen von Backups von ewon Geräten. 19.10.2006/SI Übersicht: 1. Thema 2. Benötigte Komponenten

Mehr

Fallbeispiel: Eintragen einer Behandlung

Fallbeispiel: Eintragen einer Behandlung Fallbeispiel: Eintragen einer Behandlung Im ersten Beispiel gelernt, wie man einen Patienten aus der Datenbank aussucht oder falls er noch nicht in der Datenbank ist neu anlegt. Im dritten Beispiel haben

Mehr

Reporting Services und SharePoint 2010 Teil 1

Reporting Services und SharePoint 2010 Teil 1 Reporting Services und SharePoint 2010 Teil 1 Abstract Bei der Verwendung der Reporting Services in Zusammenhang mit SharePoint 2010 stellt sich immer wieder die Frage bei der Installation: Wo und Wie?

Mehr

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Diese Verbindung muss einmalig eingerichtet werden und wird benötigt, um den Zugriff vom privaten Rechner oder der Workstation im Home Office über

Mehr

eduvote Ein Umfragesystem für Lehrveranstaltungen - PowerPoint Add-In -

eduvote Ein Umfragesystem für Lehrveranstaltungen - PowerPoint Add-In - eduvote Ein Umfragesystem für Lehrveranstaltungen - PowerPoint Add-In - Übersicht: Nach dem Herunterladen und Ausführen des Installationsprogamms für das eduvote PowerPoint Add-In befindet sich rechts

Mehr

Die neue Aufgabe von der Monitoring-Stelle. Das ist die Monitoring-Stelle:

Die neue Aufgabe von der Monitoring-Stelle. Das ist die Monitoring-Stelle: Die neue Aufgabe von der Monitoring-Stelle Das ist die Monitoring-Stelle: Am Deutschen Institut für Menschen-Rechte in Berlin gibt es ein besonderes Büro. Dieses Büro heißt Monitoring-Stelle. Mo-ni-to-ring

Mehr

Lizenzen auschecken. Was ist zu tun?

Lizenzen auschecken. Was ist zu tun? Use case Lizenzen auschecken Ihr Unternehmen hat eine Netzwerk-Commuterlizenz mit beispielsweise 4 Lizenzen. Am Freitag wollen Sie Ihren Laptop mit nach Hause nehmen, um dort am Wochenende weiter zu arbeiten.

Mehr

Handbuch ECDL 2003 Basic Modul 5: Datenbank Grundlagen von relationalen Datenbanken

Handbuch ECDL 2003 Basic Modul 5: Datenbank Grundlagen von relationalen Datenbanken Handbuch ECDL 2003 Basic Modul 5: Datenbank Grundlagen von relationalen Datenbanken Dateiname: ecdl5_01_00_documentation_standard.doc Speicherdatum: 14.02.2005 ECDL 2003 Basic Modul 5 Datenbank - Grundlagen

Mehr

Mean Time Between Failures (MTBF)

Mean Time Between Failures (MTBF) Mean Time Between Failures (MTBF) Hintergrundinformation zur MTBF Was steht hier? Die Mean Time Between Failure (MTBF) ist ein statistischer Mittelwert für den störungsfreien Betrieb eines elektronischen

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

4.9 Deterministische Kellerautomaten Wir haben bereits definiert: Ein PDA heißt deterministisch (DPDA), falls

4.9 Deterministische Kellerautomaten Wir haben bereits definiert: Ein PDA heißt deterministisch (DPDA), falls 4.9 Deterministische Kellerautomaten Wir haben bereits definiert: Ein PDA heißt deterministisch (DPDA), falls δ(q, a, Z) + δ(q, ɛ, Z) 1 (q, a, Z) Q Σ. Die von einem DPDA, der mit leerem Keller akzeptiert,

Mehr

teischl.com Software Design & Services e.u. office@teischl.com www.teischl.com/booknkeep www.facebook.com/booknkeep

teischl.com Software Design & Services e.u. office@teischl.com www.teischl.com/booknkeep www.facebook.com/booknkeep teischl.com Software Design & Services e.u. office@teischl.com www.teischl.com/booknkeep www.facebook.com/booknkeep 1. Erstellen Sie ein neues Rechnungsformular Mit book n keep können Sie nun Ihre eigenen

Mehr

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis Unterrichtsmaterialien in digitaler und in gedruckter Form Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis Das komplette Material finden Sie hier: Download bei School-Scout.de

Mehr

Materialupload. Verwalten von Unterrichtsmaterialien. über das STiNE-Webportal. Universität Hamburg Tor zur Welt der Wissenschaft

Materialupload. Verwalten von Unterrichtsmaterialien. über das STiNE-Webportal. Universität Hamburg Tor zur Welt der Wissenschaft SEA IT Applications Materialupload Verwalten von Unterrichtsmaterialien über das STiNE-Webportal Dokumentenversion: Oktober 2013 SEA IT Applications - 2 - Inhalt 1 Einleitung... - 3-2 Materialien einstellen...

Mehr

Content Management System mit INTREXX 2002.

Content Management System mit INTREXX 2002. Content Management System mit INTREXX 2002. Welche Vorteile hat ein CM-System mit INTREXX? Sie haben bereits INTREXX im Einsatz? Dann liegt es auf der Hand, dass Sie ein CM-System zur Pflege Ihrer Webseite,

Mehr

Lichtbrechung an Linsen

Lichtbrechung an Linsen Sammellinsen Lichtbrechung an Linsen Fällt ein paralleles Lichtbündel auf eine Sammellinse, so werden die Lichtstrahlen so gebrochen, dass sie durch einen Brennpunkt der Linse verlaufen. Der Abstand zwischen

Mehr

Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter.

Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter. Stundenverwaltung Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter. Dieses Programm zeichnet sich aus durch einfachste

Mehr