Klausur-Nachbesprechung

Größe: px
Ab Seite anzeigen:

Download "Klausur-Nachbesprechung"

Transkript

1 Universität der Bundeswehr München Rechnerorganisation I Fakultät für Informatik HT 23 Institut für Technische Informatik Blatt Klausur-Nachbesprechung Aufgabe -: Multiple Choice Geben Sie für die folgenden Aussagen jeweils an, ob sie wahr oder falsch sind: Aussage wahr falsch Das Assoziativitätsgesetz gehört nicht zu den Huntington schen Axiomen, lässt sich jedoch daraus herleiten. Bei PAL-Bausteinen lässt sich nur die UND-Matrix programmieren, die ODER-Matrix ist bereits fest vorgegeben, bei PLA-Bausteinen ist es genau umgekehrt. Die Schaltfunktion f(a, b, c, d) = ab cd ist Funktionshazard-frei und es kann deswegen kein Hazardfehler auftreten. Jede Schaltung in der eine Rückkopplung auftritt, ist ein synchrones Schaltwerk. Ein Carry-Lookahead-Addierer ist eine Verbesserung des Carry-Ripple- Addierers die den Schaltungsaufwand verringert. Für Zahlen die im Zweier-Komplement dargestellt sind lässt sich die Subtraktion auf die Addition und die Division auf die Multiplikation zurückführen. Mit Hilfe einer Wahrheitswertetabelle kann für zwei beliebige boole sche Ausdrücke a und b deren Äquivalenz a b bewiesen werden. Ein Implikant g von f ist dadurch charakterisiert, dass die Menge der - Stellen von g in der Menge der -Stellen von f enthalten ist. Aufgabe -2: Schaltwerksynthese Ein synchrones Schaltwerk (Moore-Automat) steuert die Lampen a, b, c, d so an, dass nacheinander immer nur eine Lampe brennt (z. B. a = : Lampe a brennt). Über den Eingang D lässt sich zu jeder Zeit die Laufrichtung ändern. Mit dem Taktimpuls T soll die augenblicklich brennende Lampe ausgeschaltet und die nächste eingeschaltet werden. Die Lampen sollen in der folgende Reihenfolge aufleuchten: Für D = : a b c d a, für D = : a d c b a. D Takt Schaltwerk a b c d q t q t+ j k Zur Realisierung soll eine minimale Anzahl von JK-Flipflops verwendet werden (Ansteuertabelle für JK-Flip-Flops siehe oben).

2 a) Erläutern und kodieren Sie die benötigten Zustände. Zustand Kodierung (q q ) Bedeutung Lampe a leuchtet Lampe b leuchtet 2 Lampe c leuchtet 3 Lampe d leuchtet b) Geben Sie das Zustandsübergangsdiagramm an. a 3 d b 2 c c) Erstellen Sie die Tabelle für die Zustandsübergangs- und Ansteuergleichungen. q t q t D q t+ q t+ j k j k x x x x x x x x x x x x x x x x d) Geben Sie die minimierten Ansteuergleichungen an. j = Dq + Dq k = Dq + Dq j = k =

3 Aufgabe -3: Minimierung mittels Quine-McClusky Eine vollständig spezifizierte Schaltfunktion f(a, b, c, d) sollte mittels des Verfahrens von Quine und McClusky minimiert werden. Dazu wurde bereits die erste Quine sche Tabelle aufgestellt: Nr.Ord. Nr.Ord. Nr 2.Ord. Nr 3.Ord. 2 2,6-2,6,,4 -- 2,6,, , - 2,6,8, ,22,26,3 2,8-2,,8, ,4-6,4,22, ,22 -,4,26,3 -- 8,4-7,2,25, ,26-8,22,26, ,4-22 7,2-25 7, , , ,23-3 2,29-22,23-22,3-25,29-26,3 - Die Einträge in der Tabelle für die verschiedenen Ordnungen stellen dabei die Belegung der Variablen in umgekehrter Reihenfolge dar, also z.b. die. Ordnung für Term Nr. 2 ist edcba, die 2. Ordnung für Term Nr. 6,4,22,3 ist cba. a) Geben Sie die fünf in der. Quine schen Tabelle ermittelten Primimplikanten an und benennen Sie sie. A = acde (Term Nr. 2,4) B = acde (Term Nr. 2,23) C = bcde (Term Nr. 22,23) D = abe (Term Nr. 7,2,25,29) E = ab (Term Nr. 2,6,,4,8,22,26,3) b) Stellen Sie zur Ermittlung der Kernimplikanten die zweite Quine sche Tabelle auf A = acde x x B = acde x x C = bcde x x D = abe x x x x E = ab x x x x x x x x

4 c) Geben Sie eine Minimalform von f an. f(a, b, c, d) = A B D E (DMF ) f(a, b, c, d) = A C D E (DMF 2) Aufgabe -4: Schaltwerksanalyse Gegeben sei folgendes Schaltwerk: a) Geben Sie die Ansteuerfunktionen der Flip Flop - Eingänge j, k, j 2, k 2, j 3, k 3 an. j = Q 3 Q 2 k = Q 3 Q 2 j 2 = Q k 2 = Q 3 j 3 = Q Q 2 k 3 = Q Q 2 b) Stellen Sie dazu die Zustandsübergangs- und Ansteuertabelle auf (zum ableiten der Zustandsübergänge aus den Ansteuerungen können Sie die Ansteuertabelle aus Aufgabe 2 verwenden). Q t 3 Q t 2 Q t j 3 k 3 j 2 k 2 j k Q t+ 3 Q t+ 2 Q t+

5 c) Ergänzen Sie das Signalzeit - Diagramm (unter der Annahme Q = Q 2 = Q 3 = für t = ) Aufgabe -5: Schaltnetzsynthese Unter Verwendung eines Multiplexers soll ein Schaltnetz entworfen werden, dessen Ausgang g immer dann eine ausgibt, wenn die Quersumme einer vierstelligen Dualzahl (dcba) gleich zwei ist. a) Erläutern Sie kurz die Funktion eines 2 n : -Multiplexers, erklären Sie dabei alle Ein- und Ausgänge. Ein Multiplexer (MUX) ist ein MSI-Baustein mit 2 n Dateneingängen, n Steuereingängen und einem Datenausgang. Durch die Steuereingänge wird ein Dateneingang ausgewählt, der auf den Datenausgang durchgeschaltet wird. b) Stellen Sie die Funktionstabelle auf. d c b a g c) Geben Sie den einfachsten Multiplexer an, der zur Realisierung der obigen Funktion geeignet ist (kurze Begründung!). Bezeichnen Sie die Belegung der Steuereingänge.

6 Vier Eingangsvariablen drei Steuereingänge nötig n = 3 Es wird ein 8:-Multiplexer benötigt. 8 Multiplexereingänge, Steuereingänge: d, c, b d) Stellen Sie zur Ermittlung der Belegungen der Multiplexer-Eingänge die Multiplexertabelle auf. dcb a = a = g a a a a a a e) Zeichnen Sie den logischen Schaltplan. a d c b 2 MUX G g

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 8 AM 23.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Rechnerorganisation I Zusammenfassung

Rechnerorganisation I Zusammenfassung Universität der Bundeswehr München Fakultät für Informatik Institut für Technische Informatik Rechnerorganisation I Zusammenfassung Tobias Kiesling kiesling@informatik.unibw-muenchen.de 09.12.2003 2. Boole

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 2. November 22 Besprechung: Übungsstunden in der Woche ab dem 9. November 22 ) Schaltungen und Schaltnetze Communication

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

Verwendung eines KV-Diagramms

Verwendung eines KV-Diagramms Verwendung eines KV-Diagramms Ermittlung einer disjunktiven Normalform einer Schaltfunktion Eine Disjunktion von Konjunktionen derart, dass jeder Konjunktion ein Block in dem KV-Diagramm entspricht, der

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Grundlagen der technischen Informatik Kapitel 4 Verarbeitungsschaltungen Pascal A. Klein, M.Sc. 4 Verarbeitungsschaltungen... 3 4.1 Einführung... 3 4.2 Addierer... 3 4.2.1 Halbaddierer... 3 4.2.2 Volladdierer...

Mehr

Auswertung. Hinweise. Einführung in die Technische Informatik WS 2006/2007 Probeklausur. Aachen, 02. November 2006 SWS: V2/Ü2, ECTS: 4

Auswertung. Hinweise. Einführung in die Technische Informatik WS 2006/2007 Probeklausur. Aachen, 02. November 2006 SWS: V2/Ü2, ECTS: 4 Professor Dr.-Ing. Stefan Kowalewski Dipl.-Inform. Andreas Polzer Dipl.-Inform. Ralf Mitsching LEHRSTUHL INFORMATIK XI SOFTWARE FÜR EINGEBETTETE SYSTEME Aachen, 02. November 2006 SWS: V2/Ü2, ECTS: 4 Einführung

Mehr

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6 Inhaltsverzeichnis 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1 2 Operationssystem der Schaltalgebra 4 3 Boolesche Funktionen 6 4 Boolesche Funktionen kombinatorischer Schaltungen 8 4.1 Begriffsbestimmung

Mehr

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5 Philipps-Universität Marburg Fachbereich Mathematik und Informatik AG Verteilte Systeme http://ds.informatik.uni-marburg.de Prof. Dr. Helmut Dohmann Prof. Dr. Bernd Freisleben Klausur zur Vorlesung Technische

Mehr

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2016 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 Schaltfunktionen (11 Punkte):

Mehr

Technischen Informatik I, WS 2004/05

Technischen Informatik I, WS 2004/05 PHILIPPS-UNIVERSITÄT MARBURG Fachbereich Mathematik und Informatik Prof Dr R Loogen, Dipl-Inform J Beringer D-3532 Marburg Hans-Meerwein-Straße Lahnberge Klausur zur Technischen Informatik I, WS 24/5 3

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik Sommer 2014 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 19. Mai 2014 1/43 1 Sequenzielle

Mehr

GTI ÜBUNG 11 AUTOMATEN

GTI ÜBUNG 11 AUTOMATEN 1 GTI ÜBUNG 11 AUTOMATEN Aufgabe 1 Automaten 2 Beschreibung (kurz und knackig) Entwerfen Sie einen endlichen Zustandsautomat (FSM) für eine Armbanduhr, der eines von vier internen Registern auf dem Display

Mehr

Synthese digitaler Schaltungen Aufgabensammlung

Synthese digitaler Schaltungen Aufgabensammlung Technische Universität Ilmenau Fakultät für Elektrotechnik und Informationstechnik Fachgebiet Elektronische Schaltungen und Systeme Dr. Ing. Steffen Arlt Synthese digitaler Schaltungen Aufgabensammlung.

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): ufgabe 1, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b) Ergänzen Sie für Ihre Schaltung in

Mehr

Inhaltsverzeichnis. 1 Einleitung 1

Inhaltsverzeichnis. 1 Einleitung 1 vn 1 Einleitung 1 2 Codierung und Zahlensysteme... 3 2.1 Codes... 3 2.2 Dualcode....4 2.3 Festkonnna-Arithmetik im Dualsystem... 5 2.3.1 Ganzzahlige Addition im Dualsystem... 5 2.3.2 Addition von Festkommazahlen...

Mehr

Grundstruktur von Schaltwerken

Grundstruktur von Schaltwerken Digitaltechnik Teil1.1 THEMA Grundstruktur von Schaltwerken Beschreibung Schaltwerke benutzen im Gegensatz zu einfachen Netzwerken auch Speicherbausteine, d.h. sie haben ein Gedächnis, die Schaltung kann

Mehr

8. Tutorium Digitaltechnik und Entwurfsverfahren

8. Tutorium Digitaltechnik und Entwurfsverfahren 8. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 9 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 2013 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 13. Mai 2013 1 Sequenzielle

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik WS 2013/14 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 20. November 2013 1/48 1 Sequenzielle

Mehr

Disitaltechni. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker 6., überarbeitete Auflage Mit 210 Abbildungen und 103 Tabellen

Disitaltechni. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker 6., überarbeitete Auflage Mit 210 Abbildungen und 103 Tabellen Klaus Fricke Disitaltechni Lehr- und Übungsbuch für Elektrotechniker und Informatiker 6., überarbeitete Auflage Mit 210 Abbildungen und 103 Tabellen STUDIUM VIEWEG+ TEUBNER VII Inhaltsverzeichnis 1 Einleitung.1

Mehr

Übungsblatt 5 (Block B - 1) (17 Punkte)

Übungsblatt 5 (Block B - 1) (17 Punkte) georg.von-der-brueggen [ ] tu-dortmund.de ulrich.gabor [ ] tu-dortmund.de olaf.neugebauer [ ] tu-dortmund.de Übung zur Vorlesung Rechnerstrukturen Wintersemester 27 Übungsblatt 5 (Block B - ) (7 Punkte)

Mehr

Einführung in die Technische Informatik

Einführung in die Technische Informatik Einführung in die Technische Informatik Prüfungsordner zum 1. Test 11. April 2003, Gruppe A Datum: 5. Mai 2005 Erstellt mit L A TEX Punkteverteilung (insgesamt 50 Punkte): 1 2 3 4 5 6 7 8 9 10 11 12 13

Mehr

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-2 Fehlersuche in digitalen Schaltungen Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-2 Versuch L-2 Allgemeines In diesem Versuch soll das Auffinden und

Mehr

Informatik I Modul 5: Rechnerarithmetik (2)

Informatik I Modul 5: Rechnerarithmetik (2) Herbstsemester 2, Institut für Informatik IFI, UZH, Schweiz Informatik I Modul 5: Rechnerarithmetik (2) 2 Burkhard Stiller M5 Modul 5: Rechnerarithmetik (2) Grundrechenarten Arithmetisch-logische Einheit

Mehr

Computational Engineering I

Computational Engineering I DEPARTMENT INFORMATIK Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Martensstraße 3, 91058 Erlangen 01.02.2017 Probeklausur zu Computational Engineering

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO-VON-GUERICKE-UNIVERSITÄT MAGDEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Grundlagen der Informatik Studiengang: Bachelor (CV / CSE / IF / WIF) am: 19. Juli 2008 Bearbeitungszeit:

Mehr

Boolesche Algebra (1)

Boolesche Algebra (1) Boolesche Algebra (1) Definition 1: Sei B = Σ 2 = {0,1} das Alphabet mit den Elementen 0 und 1. Seien auf B die 3 Operatoren einer Algebra wie folgt definiert für x,y aus B: x+y := Max(x,y), x y := Min(x,y),

Mehr

2.2 Register-Transfer-Synthese

2.2 Register-Transfer-Synthese 2.2 Register-Transfer-Synthese Register-Transfer-Synthese Überblick digitale Synthese Register-Transfer- Synthese Makrozellgeneratoren Beispiel Addierer Beispiel Speicher Synthese endlicher Automaten Zustandskodierung

Mehr

3 Verarbeitung und Speicherung elementarer Daten

3 Verarbeitung und Speicherung elementarer Daten 3 Verarbeitung und Speicherung elementarer Daten 3.1 Boolsche Algebra Definition: Eine Boolsche Algebra ist eine Menge B mit den darauf definierten zweistelligen Verknüpfungen (+,*) sowie der einstelligen

Mehr

Klausur Lösung

Klausur Lösung Name: Matr.-Nr.: Unterschrift: Die Klausur besteht aus elf Blättern und 10 Aufgaben. ACHTUNG!!! Die Blätter dürfen NICHT getrennt werden. Das Deckblatt ist mit Angabe des Namens, Matrikelnr. und der Unterschrift

Mehr

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer.

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer. Digitaltechnik Aufgaben + Lösungen 2: Zahlen und Arithmetik Aufgabe 1 Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen a) 4 D b) 13 D c) 118 D d) 67 D Teilen durch die Basis des Zahlensystems.

Mehr

5. Aufgabenkomplex. Übung und Seminar zur Vorlesung. Grundlagen der Technischen Informatik 2

5. Aufgabenkomplex. Übung und Seminar zur Vorlesung. Grundlagen der Technischen Informatik 2 Sommersemester 2 Übung und Seminar zur Vorlesung Grundlagen der Technischen Informatik 2 5. Aufgabenkomplex 9.6.29 Johannisgasse 26 43 Leipzig Telefon: +49 (34) 97-3223 Telefax: +49 (34) 97-32252 . Aufgabe.

Mehr

Lösung. H_DA Dr. Frank Fachbereich I. Unterschrift:

Lösung. H_DA Dr. Frank Fachbereich I. Unterschrift: Name: Matr.-Nr.: Unterschrift: Die Klausur besteht aus elf Blättern und 10 Aufgaben. ACHTUNG!!! Die Blätter dürfen NICHT getrennt werden. Das Deckblatt ist mit Angabe des Namens, Matrikelnr. und der Unterschrift

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 6 am 0.2.200 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 25.3.2 Die Bearbeitungsdauer beträgt für

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

Teil III. Schaltnetze und ihre Optimierung

Teil III. Schaltnetze und ihre Optimierung Teil III Schaltnetze und ihre Optimierung 1 Teil III.1 Schaltnetze 2 Beispiel 1 Schaltnetz für xor mit {+,, } x y x y 0 0 0 0 1 1 1 0 1 1 1 0 DNF: x y = xy + xy 3 Beispiel 2 xor mittels nand-verknüpfung;

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik Sommer 2014 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 15. Mai 2014 1/50 1 Boolesche Funktionen

Mehr

Einführung in die Digitaltechnik

Einführung in die Digitaltechnik Einführung in die Digitaltechnik Von Professor Dr.-Ing. Heinz-Georg Fehn Fachhochschule Münster Mit 212 Bildern und 71 Tabellen J. Schlembach Fachverlag ULBDwmstadt Inhaltsverzeichnis 1 Einführung 1 1.1

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

Synthese und Analyse Digitaler Schaltungen

Synthese und Analyse Digitaler Schaltungen Synthese und Analyse Digitaler Schaltungen von Prof. Dr.-Ing. habil. Gerd Scarbata Technische Universität Ilmenau 2., überarbeitete Auflage Oldenbourg Verlag München Wien V Inhaltsverzeichnis Seite Boolesche

Mehr

7. Schaltwerke und Automaten

7. Schaltwerke und Automaten 7 Schaltwerke un Automaten Folie 7. Schaltwerke un Automaten 7. Allgemeine Begriffe Bekannt: ) Schaltnetze: Verknüpfung mehrerer Eingangsvariablen ohne Rückkopplung es Ergebnisses 2) Flipflops: Speicherfähigkeit

Mehr

Informatik Übungsaufgaben

Informatik Übungsaufgaben Tobias Krähling email: Homepage: 22.2.27 Version: 1.5 Zusammenfassung Die Übungsaufgaben stammen aus den Übungsaufgaben und Anwesenheitsaufgaben zur Vorlesung»Einführung

Mehr

Digitaltechnik. vieweg. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker

Digitaltechnik. vieweg. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker Klaus Fricke Digitaltechnik Lehr- und Übungsbuch für Elektrotechniker und Informatiker 2., durchgesehene Auflage Mit 147 Abbildungen und 86 Tabellen Herausgegeben von Otto Mildenberger vieweg VII 1 Einleitung

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter Computersysteme 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter 1 Die Einsen im KV-Diagramm werden zu Blöcken maximaler Größe zusammengefasst. Dabei

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 3 Sequentielle

Mehr

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1 Schaltwerke (13) - Automaten (13.1) α SCHALTWERKE (13) [04.06.02, Folie 481, Übungen 07] Schaltwerke sind wesentliche Funktionseinheiten eines Computers. Beispiele hierfür sind das Rechen- und das Leitwerk

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): Lösung Nachklausur: DT II, am 22..5 ufgabe, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b)

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

Digitaltechnik I Dekomposition. Gegeben: f = (dc w db w d& c&)(e w a) k = 13

Digitaltechnik I Dekomposition. Gegeben: f = (dc w db w d& c&)(e w a) k = 13 Gegeben: DEK-01 f = (dc w db w d& c&)(e w a) k = 13 Bildung der DNF f = edc w edb w ed& c& w dca w dba w d& c&a k = 24 = edcb& a& w edcb&a w edcba& w edcba w edc&ba& w edc&ba w edcba& w edcba w unterstrichene

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Inhaltsverzeichnis. Inhaltsverzeichnis

Inhaltsverzeichnis. Inhaltsverzeichnis Inhaltsverzeichnis Hinweis: Inhalte, die weiterführend herausforderndere und schwierigere Themen aufgreifen, sind vorrangig für bereits erfahrene Leser bestimmt und können bei einer ersten Befassung durchweg

Mehr

Teil V. Programmierbare Logische Arrays (PLAs)

Teil V. Programmierbare Logische Arrays (PLAs) Teil V Programmierbare Logische Arrays (PLAs) 1 Aufbau von PLAs Programmierbares Logisches Array (PLA): Programmierbarer Einheitsbaustein aufgebaut als ein Gitter (Array) von Basisbausteinen (Zellen).

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

Teil II 2.2 Standard-Schaltnetze als Grundlage für Rechner

Teil II 2.2 Standard-Schaltnetze als Grundlage für Rechner Teil II 2.2 Standard-Schaltnetze als Grundlage für Rechner Addier- und Multiplizierwerke Halb-Addierer, Voll-Addierer Multiplizierer Schaltnetze zur Auswahl von Adress- und Datenleitungen Multiplexer Demultiplexer

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Übungsklausur - Beispiellösung

Übungsklausur - Beispiellösung Digitale Systeme Übungsklausur - Beispiellösung Aufgabe 1 (a) Benutzt man n Bit für die Darstellung im 2-Komplement, so deckt man den Wertebereich von 2 n 1 bis 2 n 1 1 ab. Also ergibt sich der abgedeckte

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

Hinweise. Auswertung. Einführung in die Technische Informatik WS 2008/2009 Probeklausur. Aachen, 12. Januar 2009 SWS: V4/Ü2, ECTS: 7

Hinweise. Auswertung. Einführung in die Technische Informatik WS 2008/2009 Probeklausur. Aachen, 12. Januar 2009 SWS: V4/Ü2, ECTS: 7 Professor Dr.-Ing. Stefan Kowalewski Dipl.-Inform. Daniel Merschen Dr. rer. nat. Alexander Ferrein Aachen, 12. Januar 2009 SWS: V4/Ü2, ECTS: 7 Einführung in die Technische Informatik WS 2008/2009 Probeklausur

Mehr

Schaltwerksanalyse-Übungen

Schaltwerksanalyse-Übungen Schaltwerksanalyse-Übungen Übung : Gegeben ist folgene Schaltung, eren Funktion zu bestimmen ist. c Ergänzen Sie as folgene Signal-Zeit-iagramm. c ie Lösung kann sehr zeitaufwenig sein, wenn man keine

Mehr

Electronic Design Automation (EDA) Register-Transfer-Synthese

Electronic Design Automation (EDA) Register-Transfer-Synthese Electronic Design Automation (EDA) Register-Transfer-Synthese Überblick digitale Synthese Register-Transfer-Synthese Makrozellgenerator Beispiel Addierer (1)... (2)... (3)... (4) Beispiel Speicher Synthese

Mehr

Grundlagen der Informatik II

Grundlagen der Informatik II Grundlagen der Informatik II Tutorium 4 Professor Dr. Hartmut Schmeck Ob P = NP, ist ein offenes Problem. d) ist Quatsch, weil pol eine ordnende Relation ist. In der Arithmetik gilt ja auch nicht x y y

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

Arbeitstitel: DV-Infrastruktur

Arbeitstitel: DV-Infrastruktur Arbeitstitel: DV-Infrastruktur Überblick über die Lehrveranstaltung Rechnerarchitektur Betriebssysteme Rechnernetze Einf. in die WI 1 - DV-Infrastruktur WS03/04 1 Rechnerarchitektur Einf. in die Technologie

Mehr

(Prüfungs-)Aufgaben zu Schaltnetzen

(Prüfungs-)Aufgaben zu Schaltnetzen (Prüfungs-)Aufgaben zu Schaltnetzen 1) Gegeben sei die binäre Funktion f(a,b,c,d) durch folgende Wertetabelle: a b c d f(a,b,c,d) 0 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 a) Geben Sie die disjunktive Normalform

Mehr

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen Fachbereich Physik 9 Logische Gatter Stichworte Elektronikpraktikum Logischen Grundverknüpfungen, Beziehungen zwischen den Grundverknüpfungen, binäres Zahlensystem, Hexadezimalsystem, positive u. negative

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Vorbemerkung. [disclaimer]

Vorbemerkung. [disclaimer] Vorbemerkung Dies ist ein abgegebenes Praktikumsprotokoll aus dem Modul physik313. Dieses Praktikumsprotokoll wurde nicht bewertet. Es handelt sich lediglich um meine Abgabe und keine Musterlösung. Alle

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Dirk W. Hoffmann Grundlagen der Technischen Informatik 3., neu bearbeitete Auflage Mit 356 Bildern, 57 Tabellen und 95 Aufgaben HANSER Inhaltsverzeichnis 1 Einführung 11 1.1 Was ist technische Informatik?

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6 Programmierbare Logik Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6: Programmierbare Logik und VLSI Seite Kapitel 6: Programmierbare Logik

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung 2.3 Logikoptimierung Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik:..Exakte Verfahen..Heuristische Verfahren..Expansion/ Reduktion..Streichen

Mehr

E Q 1 Q 0 D 1 D X X X X

E Q 1 Q 0 D 1 D X X X X Musterlösung Übung 9 Aufgabe 1 a) Wahrheitstabelle: E Q 1 Q 0 D 1 D 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 X X 1 0 0 0 0 1 0 1 0 0 1 1 0 0 1 1 1 1 X X Bei diesem Automaten handelt es sich um einen Moore-Automaten.

Mehr

Elektrische Logiksysteme mit Rückführung

Elektrische Logiksysteme mit Rückführung Elektrische Logiksysteme mit Rückführung Christoph Mahnke 22.06.2006 1 Trigger 1.1 RS-Trigger Ein RS-Trigger oder Flip-Flop ist ein elektronisches Bauelement, welches 2 stabile Zustände einnehmen und diese

Mehr