Klausur. Technische Grundlagen der Informatik Prof. Dr. Arndt Bode

Größe: px
Ab Seite anzeigen:

Download "Klausur. Technische Grundlagen der Informatik Prof. Dr. Arndt Bode"

Transkript

1 Klausur Technische Grundlagen der Informatik Prof. Dr. Arndt Bode Sommersemester Mai 2001 Name: Vorname: Matrikelnummer: Geburtsdatum: Hörsaal: Platz: Unterschrift: Ergebnis: Aufgabe Ges. Note Punkte Korrektur Ich möchte, daß die Klausur nicht gewertet wird, streiche deshalb dieses Deckblatt durch und bestätige dies durch meine Unterschrift: Unterschrift:

2 Hinweis zur Wertung: Aufgrund der geänderten Prüfungsordnung gilt die Klausur erst durch die Abgabe als angemeldet. Andererseits sind wir gezwungen, alle Arbeiten, auch von Studierenden, die sich nicht anmelden wollen, einzusammeln. Um nun beiden Punkten gerecht zu werden, ist folgender Verfahrensweg einzuhalten: 1. Falls Sie sich anmelden und die Klausur gewertet haben wollen: Bitte kontrollieren Sie, ob alle Angaben stimmen und unterschreiben Sie nur im oberen Bereich auf dem Deckblatt. 2. Falls Sie sich nicht anmelden und die Klausur nicht gewertet haben wollen: Bitte streichen Sie das Deckblatt mit einem Diagonalstrich durch und erklären mit einer zweiten Unterschrift im unteren Bereich des Deckblattes Ihre Nichtanmeldung. In diesem Fall (Fall 2) wird die Arbeit nicht korrigiert! Bitte beachten Sie, daß in beiden Fällen die unterschiedlichen Regelungen der Diplomprüfungsordnung und der Bachelor-Prüfungsordnung gelten

3 Hinweise zu den Aufgaben: - Es sind keinerlei Hilfsmittel zugelassen, auch keine Taschenrechner. - Versehen Sie dieses Angabenblatt auf der Titelseite mit Ihrem Namen, Vornamen und Matrikelnummer. - Diese Angabe umfaßt 27 bedruckte Seiten. Außerdem erhalten Sie folgende Beschreibungen: Anlage I: Rechnerorganisation und maschinennahe Programmierung 38 Seiten Anlage II: Mikroprogrammierung 24 Seiten Anlage III: Kurzbeschreibung VHDL 15 Seiten - Alle Lösungen sind in dieses Heft einzutragen. Sollte der vorgesehene Platz nicht ausreichen, so finden Sie am Ende weitere Blätter. Sollten diese auch nicht ausreichen, so wenden Sie sich bitte an die Aufsichten. - Notizpapier wird auf Ihre Anfrage ausgegeben. Die Verwendung von eigenem Papier ist nicht gestattet. - Bei allen Multiple-Choice-Aufgaben sind alle richtigen (und nur die richtigen!) Antworten anzukreuzen. Aufgaben: Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Aufgabe 5: Schaltungslogik Rechnergestützter Schaltungsentwurf Maschinennahe Programmierung Mikroprogrammierung Grundwissen - 3 -

4 Aufgabe 1 Schaltungslogik Es sind zwei Zahlen A (A 0,A 1 ) und B (B 0,B 1 ), Index 0 für das niederwertige, 1 für das höherwertige Bit, mithilfe eines Subtrahierers und einer zu entwickelnden Schaltung zu vergleichen. Somit ergibt sich folgendes Blockschaltbild: Das Ergebnis der Gesamtschaltung ist mit drei Ausgängen festzuhalten, die folgende Bedeutung haben: Ausgang Zustand falls (A > B) = 1 A > B (A = B) = 1 A = B (A < B) = 1 A < B A, B und A-B sind in den Tabellen jeweils in dekadischer Schreibweise, alle anderen Werte in Bit-Darstellung angegeben. Der Subtrahierer liefert als Ergebnis den Wert C (C 0,C 1 ) und den Übertrag Ü. A B A-B C C Ü

5 In den folgenden Teilaufgaben ist nun die Schaltung zu entwickeln, die aus dem Subtraktionsergebnis das Vergleichsergebnis liefert Ergänzen Sie folgende Wertetabelle: A B A-B C C Ü A=B A>B A<B Geben Sie die Funktion für A = B in Abhängigkeit von C 1, C 0 und Ü an: (A = B) = C0 C1 Ü ; Geben Sie die Funktion für A > B in Abhängigkeit von C 1, C 0 und Ü an: (A < B) = (C 0 C 1 ) Ü ; Geben Sie die Funktion für A < B in Abhängigkeit von C 1, C 0 und Ü an: (A > B) = Ü; 1.2 Im zweiten Teil der Aufgabe soll nun ein RS-Flipflop gesetzt bzw. zurückgesetzt werden, falls folgende Bedingungen gelten: A - B 2: RS-Flip-Flop zurücksetzen (S = 0; R = 1) 2 > A - B > -2: keine Änderung am RS-Flip-Flop (S = 0; R = 0) A - B -2: RS-Flip-Flop setzen (S = 1; R = 0) - 5 -

6 1.2.1 Ergänzen Sie folgende Wertetabelle indem Sie die Werte für S und R nachtragen: A B A-B C C Ü S R Stellen Sie die disjunktive Normalform für S in Abhängigkeit von C 1, C 0 und Ü auf: S = ( C0 C1 Ü) (C0 C1 Ü) ; Auch richtig: ( C0 C1 Ü) (C0 C1 Ü) ( C0 C1 Ü); Ist es sinnvoll, bei der Ermittlung der Bool'schen Gleichung für R die konjunktive Normalform zu verwenden? O ja, den für R ergeben sich unter Verwendung der konjunktiven Normalform weniger Ausdrücke als bei der disjunktiven Normalform X nein, den für R ergeben sich unter Verwendung der konjunktiven Normalform mehr Ausdrücke als bei der disjunktiven Normalform O egal, da die Anzahl der Ausdrücke für R bei der Verwendung der konjunktiven Normalform gleich ist denen bei der disjunktiven Normalform - 6 -

7 1.2.4 Leiten Sie die Funktion von R in Abhängigkeit von C 1, C 0 und Ü ab, minimieren Sie diese und kreuzen Sie die richtige Antwort an. Falls mehere Antworten richtig sind, kreuzen Sie alle richtigen Antworten an. O R = C0 C1 Ü; O R = C0 C1 Ü; O R = C0 C1 Ü; O R = C0 C1 Ü; O R = C0 C1 Ü; O R = C0 C1 Ü; O R = C0 C1 Ü; O R = C0 C1 Ü; O R = C1 Ü; O R = C0 Ü; X R = C1 Ü; O R = C0 Ü; O R = C1 Ü; O R = C0 Ü; O R = C1 Ü; O R = C0 Ü; O R = C1 Ü; O R = C0 Ü; O R = C1 Ü; O R = C0 Ü; O R = C1 Ü; O R = C0 Ü; O R = C1 Ü; O R = C0 Ü; Aufgabe 2 Rechnergestützter Schaltungsentwurf Knight-Rider-Effekt Im folgenden soll mit vier Lampen (L0, L1, L2, L3) ein "Knight-Rider"-Effekt erzielt werden, d.h. ein von einem Takt CLK gesteuertes Lauflicht, das immer die Richtung wechselt. Zusätzlich soll es noch die Möglichkeit geben, das Lauflicht, das nach dem Anschalten (RESET) zunächst noch keine Lampen ansteuert, mit einem START-Signal anzuschalten. Nach dem START-Signal ist das Lauflicht solange aktiv, bis es mit RESET wieder abgeschaltet wird. Es entsteht also folgende Zustandstabelle - 7 -

8 Zustand L3 L2 L1 L0 Bedingung 0 Aus Aus Aus Aus nach/während RESET und solange START nicht aktiv war 1 Aus Aus Aus An nach Start 2 Aus Aus An Aus 3 Aus An Aus Aus 4 An Aus Aus Aus 5 Aus An Aus Aus 6 Aus Aus An Aus 7 Aus Aus Aus An Wiederholung ab 2 Jede steigende Flanke an CLK wechselt vom Zustand i zum Zustand i+1, nur RESET hat eine Sofortwirkung. 2.1 Zeichnen Sie ein Zustandsübergangsdiagramm (Bubble/Blasendiagramm) dieses Automaten. Kennzeichnen Sie deutlich die Bedingungen, die zum Zustandswechsel führen, und die Ausgaben eines jeden Zustands. Lösung: - 8 -

9 2.2 Obiger Automat soll mit seinen Ein- und Ausgängen und seinem Verhalten in VHDL nachgebildet werden Ergänzen sie die folgende Entity-Deklaration, halten Sie sich dabei an die vorgegebenen Signalnamen. entity knight_rider is port ( CLK: in STD_LOGIC; RESET: in STD_LOGIC; START: in STD_LOGIC; L: inout STD_LOGIC_VECTOR (3 downto 0) ); end knight_rider; Um später einmal die Lampenanzahl einfach erhöhen zu können, und um etwas Schreibarbeit zu sparen, werden in der Beschreibung des Automaten obige 7 Zustände nicht direkt nachgebildet, sondern das Verhalten (d.h. das "Schieben" der Lampenausgänge) beschrieben, was allerdings noch eine Speicherung der Schieberichtung benötigt. Ergänzen Sie das folgende (teilweise kommentierte) VHDL-Gerüst der Implementierung des Knight-Rider-Automaten: architecture so_gehts of knight_rider is signal RICHTUNG: STD_LOGIC; begin process (CLK,RESET) begin if RESET='1' then L<="0000"; RICHTUNG<='0'; elsif CLK'event and CLK='1' then if START='1' and L="0000" then L<="0001"; -- Anfangen

10 end if; end process; end so_gehts; else end if; elsif RICHTUNG= 0 then if L/="1000" then else end if; -- noch nicht am "Anschlag" L(3 downto 1)<=L(2 downto 0); -- nach links Schieben L(0)<= 0 ; L<= "0100"; RICHTUNG<= 1 ; if L/="0001" then else end if; L(2 downto 0)<=L(3 downto 1); L(3)<= 0 ; L<="0010"; RICHTUNG<= 0 ; -- wenden Aufgabe 3 Maschinennahe Programmierung, 80x86 Assembler Es ist ein 80x86 Programm zu entwickeln das feststellt ob eine natürliche Zahl n durch eine andere natürliche Zahl k teilbar ist, d.h. ob n mod k = 0. k ist dabei eine Zweierpotenz, d.h. i ε Ν 2 i = k. Zur Darstellung von n und k werden 16 Bit breite ganze Zahlen verwendet. Für das Weitere können Sie voraussetzen, daß n<32768 und k Aufruf eines Unterprogramms Die Lösung soll als Unterprogramm teilt(n,k) realisiert werden. Nachfolgend ist das Gerüst des Unterprogramms sowie sein Aufruf dargestellt. Tragen

11 Sie in das Diagramm auf Seite 13 ein, wo sich im Keller (stack) folgende Informationen zum Zeitpunkt unmittelbar vor Ausführung des Befehls an der durch das Label LA markierten Stelle befinden: Rücksprungadresse (Wert: A956H) Parameter n (Wert: 0400H) Parameter k (Wert: 0080H) Ein Feld im Diagramm entspricht einem Byte. Tragen Sie die oben genannten Werte in das Speicherdiagramm ein. ;; Code Fragment, das das Unterprogramm aufruft ;;; ;;; L1: mov ax, n push ax mov ax, k push ax call teilt cmp ax, 0 je nein ja: ; n ist durch k teilbar nein: ; n ist nicht durch k teilbar ;;; Unterprogramm teilt(n,k) ;;; Eingabeparameter n und k wie oben spezifiziert teilt: push bp ; Prolog mov bp, sp LA: mov ax, [bp+4] ;Realisierung eigentlicher Code ;Aufgabe 3.3 mov sp, bp ; Epilog pop bp ret

12 Adressen 0000H 0001H 0002H 0003H BP BP-alt.lsb der im Programm gerettet alte Wert BP-alt.msb 56H A9H des BasePointer, BP die Rückkehradresse Little-Endian Byte-Ordnung: 80H 00H iederwertiges Byte an niedriger Adresse 00H höherwertiges Byte an höherer Adresse 04H der 2. Parameter, k der 1. Parameter, n FFFEH FFFFH 3.2 Aufrufschnittstelle des Unterprogramms Einige wesentliche Bestandteile der Aufrufschnittstelle sind im obigen Code-Fragment und den Kommentaren nicht spezifiziert. Nennen Sie einen davon und ergänzen Sie die Spezifikation soweit sich die fehlende Information aus der Verwendung des Unterprogramms erkennen läßt. Lösung: Aus dem Kommentar ist nicht ersichtlich, wie das Ergebnis übergeben wird. Aus dem Fragment des aufrufenden Programms lässt sich aber schließen, dass das Ergebnis im Register AX steht. Ist n durch k teilbar, wird in AX ein von Null verschiedener Wert geliefert, andernfalls der Wert Null

13 3.3 Realisierung des Unterprogramms Realisieren Sie den im obigen Code-Fragment fehlenden Teil des Unterprogramms teilt(n,k) entsprechend der Spezifikation. Nutzen Sie die zugesicherten Eigenschaften des Parameters k für eine effiziente Implementierung. Die Effizienz Ihrer Lösung geht in die Bewertung ein. Hinweis: Eine effiziente Lösung kommt ohne Multiplikations- und Divisionsbefehle aus. Lösungsvorschlag: n ist genau dann durch k = 2 i teilbar, wenn die i-1 niedrigswertigen Stellen von n Nulls sind. Dies wird überprüft durch UND-Verknüpfung von n mit k-1. k-1 hat an den Bitpositionen i...0 Einsen, sonst Nullen. Ist das Ergebnis der UND-Verknüpfung Null, so ist n durch k teilbar. ;;; Unterprogramm teilt (n,k) ;;; Eingabeparameter n und k wie oben spezifiziert FALSE EQU 0 True EQU 0 teilt: push bp ; Prolog mov bp, sp.la: mov ax, [bp+4] ; n mov cx, [bp+2] ; k dec cx jz.lja and ax, cx ; k=1 Í k teilt n cmp ax, 0 je.lja.lnein: mov AX, FALSE jmp.epilog.lja: mov AX, TRUE.EPILOG: mov sp, bp ; Epilog pop bp ret 4 Noch einfacher wäre es geworden, wenn wir festgelegt hätten, dass der Wert Null als Ergebnis geliefert wird, wenn n k teilt und ein von Null verschiedener Wert ist. Eine weitere Lösungsmöglichkeit ist, n und k in Registern schrittweise nach rechts zu schieben, bis das '1' bit von k in Bitposition 0 angekommen ist. Tritt dabei ein Übertrag beim Rechtsschieben von n auf, ist n nicht durch k teilbar

14 Alternative Lösung: ;;; Unterprogramm teilt (n,k) ;;; Eingabeparameter n und k wie oben spezifiziert FALSE EQU 0 True EQU 0.LA: mov ax, [bp+4] ; n mov cx, [bp+2] ; k.l1: cmp cx, 1 je.lja shr ax, 1 jc.lnein shr cx, 1 jmp.l1.lnein: mov AX, FALSE jmp.epilog.lja: mov AX, TRUE.EPILOG: mov sp, bp ; Epilog pop bp ret 4 Aufgabe 4 Mikroprogrammierung In der Anlage finden Sie die Beschreibung der mikroprogrammierbaren Maschine, die ab dem WS 1993/94 in der Vorlesung "Technische Grundlagen der Informatik" und in den Übungen behandelt worden ist. Für diese mikroprogrammierbare Maschine sind auch die in den nachfolgenden Teilaufgaben spezifizierten Mikroprogramme zu schreiben. Sie finden alle zur Lösung der Aufgabe notwendigen Angaben zu der mikroprogrammierbaren Maschine, wie die Beschreibung des Mikroinstruktionsformates und die Funktionstabellen der Bausteine in der Anlage der Klausur. Ihre Lösung tragen Sie in das auf Seite 18 und Seite 19 der Klausur-Angabe vorgegebene Formblatt ein. Bei Bedarf können Sie weitere Blätter anfordern. Kennzeichnen Sie ungültige Lösungen deutlich! Verwenden Sie für Ihre Mikroprogramme die in den Funktionstabellen und in der Beschreibung des Mikroinstruktionsformates angegebenen mnemotechnischen Namen. In die Felder der Mikroinstruktion, deren Belegung für die korrekte Funktion unerheblich ist, tragen Sie jeweils ein "X" für "Don't Care" ein. Das Mikroprogramm IFETCH, das den nächsten Maschinenbefehl in das Instruktionsregister lädt, den Befehlszähler inkrementiert und entsprechend dem Befehls-Opcode das zugehörige Mikroprogramm anspringt, kann als gegeben betrachtet werden. Alle Mikroprogramme müssen am Ende wieder zum Anfang des Mikroprogramms IFETCH

15 zurückspringen Schreiben Sie ein Mikroprogramm, das den Maschinenbefehl OR RA,[RB] realisiert. Der Maschinenbefehl OR verknüpft zwei Operanden durch ein bitweises logisches ODER. Der erste Operand ist das im RA-ADDR-Feld des Maschinenbefehls angebene Register. Der zweite Operand, in dem auch das Ergebnis der Operation abgespeichert werden soll, ist eine Hauptspeicherzelle, deren Adresse in dem Register gespeichert ist, dessen Nummer im RB-ADDR-Feld des Maschinenbefehls gegeben ist Welche Adressierungsarten verwendet der Maschinenbefehl OR RA,[RB]? _indirekte Registeradressierung direkte Registeradressierung Ergänzen Sie das Mikroprogramm auf den Seiten 18 und 19 und kommentieren Sie die einzelnen Mikroinstruktionen. Platz für die Kommentierung ist im Formblatt auf den Seiten 18 und 19 vorgesehen Kann der Maschinenbefehl durch weniger als 4 Mikroinstruktionen implementiert werden? Warum? (Bitte kurz fassen) Dies ist nicht möglich, da man für einen Lese- bzw. Schreibzyklus immer zwei Takte benötigt. Dies ergibt für diesen Befehl minimal vier Takte Neben dem oben genannten Maschinenbefehl OR RA,[RB] kann nun auch noch der Befehl MOV imm,rb als gegeben angesehen werden. Dieser kopiert den unmittelbaren Operand imm in das im RB-ADDR-Feld des Maschinenbefehlswort gegebene Register. Der Maschinenbefehl OR RA,[RB] habe den Opcode 47(hex), der Befehl MOV imm, RB den Opcode 22(hex). Es soll ein Maschinenprogramm geschrieben werden, das in der Hauptspeicherzelle 100(hex) das niedrigstwertige Bit setzt. Vervollständigen Sie das unten im Hexadezimalcode angegebene Maschinenprogramm! Adresse Inhalt

16 - 16 -

17 Aufgabe 5 Kreuzen Sie bei den folgenden Aufgaben nur die richtigen und alle richtigen Antworten an! 5.1 Geben Sie drei in ihrer Art unterschiedliche Beschreibungsformen für einen Rechner an. {Prosa und Blockschaltbild} {Programmiersprachen, Pascal, C} {Beschreibungssprachen (VHDL, HDL, CDL)} 5.2 Geben Sie die funktionalen Schichten beim Rechner an: Benutzerprogrammschicht von Neumann-Schicht Mikroarchitekturschicht Gatterschicht Bauelementeschicht Physikalische Schicht 5.3 Bei einer Mikromaschine (Register-Transfer-Maschine) gilt: Eine Mikromaschine interpretiert die Maschinenbefehle der von-neumann- Schicht durch Mikroprogramme? X ja O nein Mikroinstruktionen können Teile von Mikroprogrammen sein? X ja O nein

18 5.3.3 Mikroinstruktionen bestehen aus einer Menge von Mikrooperationen? X ja O nein Mikrooperationen können nur parallel ausgeführt werden? O ja X nein 5.4 Kreuzen Sie diejenigen Felder an, aus denen ein Mikroinstruktionsformat besteht. O Sequencer X Steuerteil O ALU X Direktdatenteil O Hauptspeicher O Adreßgenerator O CPU X Adreßteil O Adreßbus 5.5 Welche der aufgeführten Mikroinstruktionsformate benötigen nicht unbedingt zusätzliche Dekoder? X Horizontal O Quasihorizontal O Vertikal 5.6 Zählen Sie die Funktionseinheiten (Werke) einer CPU bei einem Rechner nach dem von Neumann Prinzip auf. Hauptspeicher Leitwerk Rechenwerk Ein/Ausgabewerk

19 5.7 Kreuzen Sie die richtigen Aussagen bei einem Rechner nach dem von Neumann- Prinzip an Programm und Daten stehen in X demselben O unterschiedlichen Speicher(n) Der Hauptspeicher besteht aus Zellen X gleicher O unterschiedlicher Größe Es gibt O nur bedingte O nur unbedingte X bedingte und unbedingte Sprünge. 5.8 Bei welchem der folgenden Schaltungstypen ist keine Zwischenspeicherung von Daten gegeben? X Schaltnetze O Schaltwerke 5.9 Kreuzen Sie die Bauteile an, bei denen keine Negation vorhanden ist. X UND X Oder O Not O NAND O ALU

20 5.10 EPRoms werden verwendet als X Programmspeicher O Arbeitsspeicher O Massenspeicher 5.11 Fragen zur CPU Der Befehl "JMP 1234H" springt nicht über Codesegmentsgrenzen hinweg. O ja X nein Der "MUL"-Befehl des 8086 legt sein Ergebnis im BX-Register ab. O ja X nein Bei einem 8086 ist ein Speichersegment maximal 64 kb groß. X ja O nein Beim "SHR"-Befehl kommt das niedrigstwertige Bit, das rechts herausgeschoben wird, ins Carry-Flag. X ja O nein Die Datenstruktur-Semantik beim Stack gleicht einem LIFO. X ja O nein Ein Unterprogrammaufruf übergibt Funktionsargumente. O ja X nein

Midterm-Klausur Technische Grundlagen der Informatik

Midterm-Klausur Technische Grundlagen der Informatik Midterm-Klausur Technische Grundlagen der Informatik Prof. Dr. Arndt Bode Wintersemester 2002/2003 7. Dezember 2002 Name: Vorname: Matrikelnummer: Hörsaal: Platz: Unterschrift: Ergebnis: Aufgabe Punkte

Mehr

Klausur. Technische Grundlagen der Informatik Prof. Dr. Arndt Bode

Klausur. Technische Grundlagen der Informatik Prof. Dr. Arndt Bode Klausur Technische Grundlagen der Informatik Prof. Dr. Arndt Bode Wintersemester 2000/2001 3. Februar 2001 Name: Vorname: Matrikelnummer: Geburtsdatum: Hörsaal: Platz: Unterschrift: Ergebnis: Aufgabe 1

Mehr

Tutorübung 7: Mikroprogrammierung I

Tutorübung 7: Mikroprogrammierung I Tutorübung 7: Mikroprogrammierung I Vorlesung Einführung in die Technische Informatik (ETI) Lehrstuhl für Rechnertechnik und Rechnerorganisation Institut für Informatik 10 Technische Universität München

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Klausur "Informationstechnische Grundlagen" WS 2012/2013

Klausur Informationstechnische Grundlagen WS 2012/2013 PD Dr. J. Reischer 11.02.2013 Klausur "Informationstechnische Grundlagen" WS 2012/2013 Nachname, Vorname Abschluss (BA, MA, FKN etc.) Matrikelnummer, Semester Versuch (1/2/3) Bitte füllen Sie zuerst den

Mehr

x86 Assembler Praktische Einführung Sebastian Lackner Michael Müller 3. Juni 2013

x86 Assembler Praktische Einführung Sebastian Lackner Michael Müller 3. Juni 2013 x86 Assembler Praktische Einführung Sebastian Lackner Michael Müller 3. Juni 2013 1 / 53 Inhaltsverzeichnis 1 Einführung 2 Assembler Syntax, Register und Flags 3 Hauptspeicher 4 Stack 5 Assemblerbefehle

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Im Original veränderbare Word-Dateien

Im Original veränderbare Word-Dateien Das Von-Neumann-Prinzip Prinzipien der Datenverarbeitung Fast alle modernen Computer funktionieren nach dem Von- Neumann-Prinzip. Der Erfinder dieses Konzeptes John von Neumann (1903-1957) war ein in den

Mehr

B1 Stapelspeicher (stack)

B1 Stapelspeicher (stack) B1 Stapelspeicher (stack) Arbeitsweise des LIFO-Stapelspeichers Im Kapitel "Unterprogramme" wurde schon erwähnt, dass Unterprogramme einen so genannten Stapelspeicher (Kellerspeicher, Stapel, stack) benötigen

Mehr

Mikroprozessortechnik. 03. April 2012

Mikroprozessortechnik. 03. April 2012 Klausur 03. April 2012 Name:. Vorname Matr.-Nr:. Studiengang Hinweise: Bitte füllen Sie vor dem Bearbeiten der Aufgaben das Deckblatt sorgfältig aus. Die Klausur besteht aus 6 doppelseitig bedruckten Blättern.

Mehr

TIn 1: Feedback Laboratories. Lecture 4 Data transfer. Question: What is the IP? Institut für Embedded Systems. Institut für Embedded Systems

TIn 1: Feedback Laboratories. Lecture 4 Data transfer. Question: What is the IP? Institut für Embedded Systems. Institut für Embedded Systems Mitglied der Zürcher Fachhochschule TIn 1: Lecture 4 Data transfer Feedback Laboratories Question: What is the IP? Why do we NEED an IP? Lecture 3: Lernziele Moving data, the why s and wherefores Moving

Mehr

Semestralklausur zu Modellierung verteilter Systeme

Semestralklausur zu Modellierung verteilter Systeme Name: Vorname: Matr.Nr: Technische Universität München WS 2010/2011 Institut für Informatik Prof. Manfred Broy 09.02.2011 Semestralklausur zu Modellierung verteilter Systeme Allgemeine Hinweise: Schreiben

Mehr

Prozessor HC680 fiktiv

Prozessor HC680 fiktiv Prozessor HC680 fiktiv Dokumentation der Simulation Die Simulation umfasst die Struktur und Funktionalität des Prozessors und wichtiger Baugruppen des Systems. Dabei werden in einem Simulationsfenster

Mehr

3.0 8051 Assembler und Hochsprachen

3.0 8051 Assembler und Hochsprachen 3.0 8051 Assembler und Hochsprachen Eine kurze Übersicht zum Ablauf einer Programmierung eines 8051 Mikrocontrollers. 3.1 Der 8051 Maschinencode Grundsätzlich akzeptiert ein 8051 Mikrocontroller als Befehle

Mehr

Kap 4. 4 Die Mikroprogrammebene eines Rechners

Kap 4. 4 Die Mikroprogrammebene eines Rechners 4 Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten (Befehl holen, Befehl dekodieren, Operanden holen etc.).

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlagen der Informatik Teil III Boolesche Algebra, Signalarten, Elektronische Bauteile Seite 1 Boolesche Algebra George Boole => englischer Mathematiker Mitte 19. Jahrhundert Formale Sicht digitaler

Mehr

Mikrocomputertechnik. Einadressmaschine

Mikrocomputertechnik. Einadressmaschine technik Einadressmaschine Vorlesung 2. Mikroprozessoren Einführung Entwicklungsgeschichte Mikroprozessor als universeller Baustein Struktur Architektur mit Akku ( Nerdi) FH Augsburg, Fakultät für Elektrotechnik

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Rechnerorganisation 2 TOY. Karl C. Posch. co1.ro_2003. Karl.Posch@iaik.tugraz.at 16.03.2011

Rechnerorganisation 2 TOY. Karl C. Posch. co1.ro_2003. Karl.Posch@iaik.tugraz.at 16.03.2011 Technische Universität Graz Institut tfür Angewandte Informationsverarbeitung und Kommunikationstechnologie Rechnerorganisation 2 TOY Karl C. Posch Karl.Posch@iaik.tugraz.at co1.ro_2003. 1 Ausblick. Erste

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

Technische Informatik 2 Adressierungsarten

Technische Informatik 2 Adressierungsarten Technische Informatik 2 Adressierungsarten Prof. Dr. Miroslaw Malek Sommersemester 2009 www.informatik.hu-berlin.de/rok/ca Thema heute X-Adressmaschine 0-Adressmaschine 1-Adressmaschine 2-Adressmaschine

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

1.7 Assembler Programmierung

1.7 Assembler Programmierung 1.7 Assembler Programmierung Die nach außen sichtbare Programmierschnittstelle eines Prozessors ist der Befehlscode. Dies ist eine binäre Dateninformation, die vom Prozessor Byte für Byte abgearbeitet

Mehr

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754.

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. a) Stellen Sie die Zahl 7,625 in folgender Tabelle dar! b) Wie werden denormalisierte

Mehr

Nachholklausur Informatik II

Nachholklausur Informatik II Technische Universität Darmstadt Teil Informatik II Fachbereich Informatik Frühjahr 2001 Fachgebiet Graphisch-Interaktive Systeme Prof. Dr. J. L. Encarnação Dr. J. Schönhut Nachholklausur Informatik II

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Stephan Brumme, SST, 2.FS, Matrikelnr. 70 25 44

Stephan Brumme, SST, 2.FS, Matrikelnr. 70 25 44 Aufgabe 33 a) Der Pseudobefehl move $rd,$rs wird als addu $rd,$0,$rs übersetzt. Dabei macht sich SPIM zunutze, dass das Register $0 immer Null ist. Somit wird das Register $rd ersetzt durch $rd=0+$rs=$rs,

Mehr

Klausur zur Mikroprozessortechnik

Klausur zur Mikroprozessortechnik Prof. Dr. K. Wüst WS 2001 FH Gießen Friedberg, FB MNI Studiengang Informatik Klausur zur Mikroprozessortechnik Nachname: Vorname: Matrikelnummer: 7.3.2001 Punkteverteilung Aufgabe Punkte erreicht 1 3 2

Mehr

L3. Datenmanipulation

L3. Datenmanipulation L Datenmanipulation Aufbau eines Computers Prozessor, Arbeitsspeicher und system Maschinensprachen und Maschinenbefehle Beispiel einer vereinfachten Maschinensprache Ausführung des Programms und Befehlszyklus

Mehr

Instruktionssatz-Architektur

Instruktionssatz-Architektur Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2005/2006 Übersicht 1 Einleitung 2 Bestandteile der ISA 3 CISC / RISC Übersicht 1 Einleitung 2 Bestandteile

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

Bearbeitungszeit: 120 Minuten. Kommentare kosten Zeit; kommentieren Sie ihr Programm nur da, wo der Code alleine nicht verständlich wäre.

Bearbeitungszeit: 120 Minuten. Kommentare kosten Zeit; kommentieren Sie ihr Programm nur da, wo der Code alleine nicht verständlich wäre. Fakultät IV Elektrotechnik/Informatik Klausur Einführung in die Informatik I für Elektrotechniker Name:... Matr.-Nr.... Bearbeitungszeit: 120 Minuten Bewertung (bitte offenlassen : ) Aufgabe Punkte Erreichte

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Bitte in Druckschrift ausfüllen: Nachname: Vorname: Fachbereich: Matrikelnummer: Geheimwort: Bitte nicht ausfüllen:

Bitte in Druckschrift ausfüllen: Nachname: Vorname: Fachbereich: Matrikelnummer: Geheimwort: Bitte nicht ausfüllen: Prof. Dr. B. Seeger Klausur zur Informatik IIIa WS 99/00 Martin Schneider Beginn: Ende: 8:15 Uhr 10:45 Uhr Bitte in Druckschrift ausfüllen: Nachname: Fachbereich: Matrikelnummer: Geheimwort: Tragen Sie

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Informatik für Ingenieure

Informatik für Ingenieure Informatik für Ingenieure Eine Einführung Von Prof. Dr. rer. nat. Wolfgang Merzenich Universität-Gesamthochschule Siegen und Prof. Dr.-Ing. Hans Christoph Zeidler Universität der Bundeswehr Hamburg B.

Mehr

Assembler-Programmierung

Assembler-Programmierung Assembler-Programmierung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Assembler-Programmierung 1/48 2012-02-29 Assembler-Programmierung

Mehr

1. Übung - Einführung/Rechnerarchitektur

1. Übung - Einführung/Rechnerarchitektur 1. Übung - Einführung/Rechnerarchitektur Informatik I für Verkehrsingenieure Aufgaben inkl. Beispiellösungen 1. Aufgabe: Was ist Hard- bzw. Software? a Computermaus b Betriebssystem c Drucker d Internetbrowser

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Algorithmen und Datenstrukturen (ESE) Entwurf, Analyse und Umsetzung von Algorithmen (IEMS) WS 2012 / 2013. Vorlesung 9, Dienstag 18.

Algorithmen und Datenstrukturen (ESE) Entwurf, Analyse und Umsetzung von Algorithmen (IEMS) WS 2012 / 2013. Vorlesung 9, Dienstag 18. Algorithmen und Datenstrukturen (ESE) Entwurf, Analyse und Umsetzung von Algorithmen (IEMS) WS 2012 / 2013 Vorlesung 9, Dienstag 18. Dezember 2012 (Performance Tuning, Profiling, Maschinencode) Prof. Dr.

Mehr

Betriebssysteme Kap B: Hardwaremechanismen

Betriebssysteme Kap B: Hardwaremechanismen 1 Betriebssysteme Kap B: Hardwaremechanismen 2 Beispielprozessor Ein- / Ausgabe p[ ] ir Leitwerk pc Register a f sp Rechenwerk Speicher m[ ] Spezielle Register Flagregister f f.i: Interrupt-Enable-Flag

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Hardware/Software-Codesign

Hardware/Software-Codesign Klausur zur Lehrveranstaltung Hardware/Software-Codesign Dr. Christian Plessl Paderborn Center for Parallel Computing Universität Paderborn 8.10.2009 Die Bearbeitungsdauer beträgt 75 Minuten. Es sind keine

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK Anmerkungen FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Arndt Bode Einführung in die Rechnerarchitektur (ERA) Die Fragen werden

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Teil 1: Prozessorstrukturen

Teil 1: Prozessorstrukturen Teil 1: Prozessorstrukturen Inhalt: Mikroprogrammierung Assemblerprogrammierung Motorola 6809: ein einfacher 8-Bit Mikroprozessor Mikrocontroller Koprozessoren CISC- und RISC-Prozessoren Intel Pentium

Mehr

Klausur. Grundlagen der Datenverarbeitung/ Technische Informatik 23.9.03

Klausur. Grundlagen der Datenverarbeitung/ Technische Informatik 23.9.03 Klausur Grundlagen der Datenverarbeitung/ Technische Informatik 23.9.03 Machen Sie bitte zu Beginn der Klausur hier unten die notwendigen Angaben. Lösen Sie nicht die Heftung der Klausur. Wenn Sie die

Mehr

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 11/12

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 11/12 FB ET/IT Binäre Rechenoperationen WS /2 Name, Vorname: Matr.-Nr.: Zugelassene Hilfsmittel: beliebiger Taschenrechner eine selbsterstellte Formelsammlung Wichtige Hinweise: Ausführungen, Notizen und Lösungen

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Von-Neumann-Rechner (John von Neumann : 1903-1957) C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Quelle: http://www.cs.uakron.edu/~margush/465/01_intro.html Analytical Engine - Calculate

Mehr

Programmierung 2. Übersetzer: Code-Erzeugung. Sebastian Hack. Klaas Boesche. Sommersemester 2012. hack@cs.uni-saarland.de. boesche@cs.uni-saarland.

Programmierung 2. Übersetzer: Code-Erzeugung. Sebastian Hack. Klaas Boesche. Sommersemester 2012. hack@cs.uni-saarland.de. boesche@cs.uni-saarland. 1 Programmierung 2 Übersetzer: Code-Erzeugung Sebastian Hack hack@cs.uni-saarland.de Klaas Boesche boesche@cs.uni-saarland.de Sommersemester 2012 Bytecodes Der Java Übersetzer erzeugt keine Maschinensprache

Mehr

einfache PIC-Übungsprogramme

einfache PIC-Übungsprogramme einfache PIC-Übungsprogramme Schreibe in MPLAB für das PIC-Übungsboard 01 mit dem PIC16F88 folgendes Programm, assembliere und dokumentiere dieses, schreibe es anschließend mittels dem Programmiergerät

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

2. Rechnerarchitektur 2.1 einfache Computer

2. Rechnerarchitektur 2.1 einfache Computer Fakultät Informatik Institut Systemarchitektur Professur Rechnernetze WS 2012 LV Informatik-I für Verkehrsingenieure 2. Rechnerarchitektur 2.1 einfache Computer Dr. rer.nat. D. Gütter Mail: WWW: Dietbert.Guetter@tu-dresden.de

Mehr

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note:

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note: Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 95 min. Name nicht vergessen! Geben Sie alle Blätter ab. Die Reihenfolge der Aufgaben ist unabhängig vom Schwierigkeitsgrad. Erlaubte Hilfsmittel

Mehr

Einführung in Informatik 1

Einführung in Informatik 1 Einführung in Informatik Prof. Dr.-Ing. Andreas Penningsfeld Zahlensysteme Allgemein: Zahl b := zn * bn +... + z * b + z ( ) * b (-) +... + z (-m) * b (-m) ; zi: Koeffizienten b: Basis Dezimalsystem Dualsystem

Mehr

Technische Informatik 2 Maschinenprogrammierungskonzepte

Technische Informatik 2 Maschinenprogrammierungskonzepte Technische Informatik 2 Maschinenprogrammierungskonzepte Prof Dr Miroslaw Malek Sommersemester 2005 wwwinformatikhu-berlinde/rok/ca Thema heute Ausführung von Befehlen Ein-/Ausgabeprogrammierung Architekturen

Mehr

Betriebssysteme Teil 6: Hardware-Schicht II

Betriebssysteme Teil 6: Hardware-Schicht II Betriebssysteme Teil 6: Hardware-Schicht II 13.11.15 1 Literatur [6-1] Engelmann, Lutz (Hrsg.): Abitur Informatik Basiswissen Schule. Duden-Verlag, 2003, S.43-53, 214-224, 239-242, S. 267-299,304-313 [6-2]

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10. Lehrprozessor: Coldfire MCF-5272 Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.45 Uhr Raum: Aula Bearbeitungszeit: 180 Minuten Erlaubte Hilfsmittel: Gedrucktes Vorlesungsskript von Prof. Neuschwander mit

Mehr

Technische Informatik 1 Übung 2 Assembler (Rechenübung) Georgia Giannopoulou (ggeorgia@tik.ee.ethz.ch) 22./23. Oktober 2015

Technische Informatik 1 Übung 2 Assembler (Rechenübung) Georgia Giannopoulou (ggeorgia@tik.ee.ethz.ch) 22./23. Oktober 2015 Technische Informatik 1 Übung 2 Assembler (Rechenübung) Georgia Giannopoulou (ggeorgia@tik.ee.ethz.ch) 22./23. Oktober 2015 Ziele der Übung Aufgabe 1 Aufbau und Aufruf von Funktionen in Assembler Codeanalyse

Mehr

Das Rechnermodell von John von Neumann

Das Rechnermodell von John von Neumann Das Rechnermodell von John von Neumann Historisches Die ersten mechanischen Rechenmaschinen wurden im 17. Jahhundert entworfen. Zu den Pionieren dieser Entwichlung zählen Wilhelm Schickard, Blaise Pascal

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Lektion 3: Was ist und was kann ein Computer?

Lektion 3: Was ist und was kann ein Computer? Lektion 3: Was ist und was kann ein Computer? Helmar Burkhart Informatik burkhart@ifi.unibas.ch EINFÜHRUNG IN DIE INFORMATIK I 3-0 Übersicht Lektion 3 Hardware Software Aufbau eines Computers Rechnerkern

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Übung -- d001_7-segmentanzeige

Übung -- d001_7-segmentanzeige Übung -- d001_7-segmentanzeige Übersicht: Der Steuerungsablauf für die Anzeige der Ziffern 0 bis 9 mittels einer 7-Segmentanzeige soll mit einer speicherprogrammierbaren Steuerung realisiert werden. Lehrziele:

Mehr

Einführung in die Programmierung

Einführung in die Programmierung Name, Vorname Matrikelnummer Probeklausur zur Vorlesung Einführung in die Programmierung WS 2008/09 Dauer: 2 Stunden Hinweise: Schreiben Sie Ihren Namen und Ihre Matrikelnummer auf dieses Deckblatt und

Mehr

Besprechung des 3. Übungsblattes MIMA-Interpreter MIMA-Aufgabe: Primzahltest Weitere MIMA-Aufgaben online

Besprechung des 3. Übungsblattes MIMA-Interpreter MIMA-Aufgabe: Primzahltest Weitere MIMA-Aufgaben online Themen heute Besprechung des 3. Übungsblattes MIMA-Interpreter MIMA-Aufgabe: Primzahltest Weitere MIMA-Aufgaben online Besprechung des 3. Übungsblattes Aufgabe 3 Speicherplätze für Mikrocode-Anweisungen

Mehr

Technische Informatik 1

Technische Informatik 1 Technische Informatik 1 2 Instruktionssatz Lothar Thiele Computer Engineering and Networks Laboratory Instruktionsverarbeitung 2 2 Übersetzung Das Kapitel 2 der Vorlesung setzt sich mit der Maschinensprache

Mehr

Fakultät Wirtschaftswissenschaft

Fakultät Wirtschaftswissenschaft Fakultät Wirtschaftswissenschaft Matrikelnr. Name Vorname KLAUSUR: Entwurf und Implementierung von Informationssystemen (32561) TERMIN: 11.09.2013, 14.00 16.00 Uhr PRÜFER: Univ.-Prof. Dr. Stefan Strecker

Mehr

Klausur in 12.1 Themen: Zahlsysteme, Grundlagen von Delphi (Bearbeitungszeit: 90 Minuten)

Klausur in 12.1 Themen: Zahlsysteme, Grundlagen von Delphi (Bearbeitungszeit: 90 Minuten) Name: «Vorname» «Name» Klausur in 12.1 Themen: Zahlsysteme, Grundlagen von Delphi (Bearbeitungszeit: 90 Minuten) Informatik 12 2 VP je 2 VP 6 VP 0 Notieren Sie alle Antworten in einer Word-Datei Klausur1_«Name».doc

Mehr

Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c

Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c 1 Transportbefehle 1.1 Verwendung nur Akku und Register (R0, R1,... R7) 1.1.1 Kopieren Sie den Wert aus Register1 nach

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

5.4 Klassen und Objekte

5.4 Klassen und Objekte 5.4 Klassen und Objekte Zusammenfassung: Projekt Figuren und Zeichner Figuren stellt Basisklassen für geometrische Figuren zur Verfügung Zeichner bietet eine übergeordnete Klasse Zeichner, welche die Dienstleistungen

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

Vorlesung Datenbanken II A Klausur

Vorlesung Datenbanken II A Klausur Prof. Dr. Stefan Brass 11. Juli 2006 Institut für Informatik MLU Halle-Wittenberg Vorlesung Datenbanken II A Klausur Name: Matrikelnummer: Studiengang: Aufgabe Punkte Max. Punkte Zeit 1 (Entwurf im ER-Modell)

Mehr

Einführung in die Programmierung, WS 14/15 Übungsleiter: Sebastian Ebers <ebers@itm.uni-luebeck.de> Aufgabenblatt 2

Einführung in die Programmierung, WS 14/15 Übungsleiter: Sebastian Ebers <ebers@itm.uni-luebeck.de> Aufgabenblatt 2 Übung zur Vorlesung Einführung in die Programmierung, WS 14/15 Übungsleiter: Sebastian Ebers Aufgabenblatt 2 Abgabe: 17.11.2014, vor der Vorlesung / Großübung (10:00 Uhr, AM

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

HC680 PROGRAMMER'S REFERENCE MANUAL

HC680 PROGRAMMER'S REFERENCE MANUAL HC680 PROGRAMMER'S REFERENCE MANUAL Programmieranleitung Mnemonic Assembler Maschinenbefehl Wirkung /Bedeutung Register (0 bis 3 allg. Reg.) Ope- Opcode/Binärcode - Adressierungsart - Nr Bez. xx Bin Art

Mehr

0 C (Carry) Überlauf des 8ten Bits. 1 DC (Digit Carry) Überlauf des 4ten Bits. Mnemonic Parameter Beschreibung Status-Flags.

0 C (Carry) Überlauf des 8ten Bits. 1 DC (Digit Carry) Überlauf des 4ten Bits. Mnemonic Parameter Beschreibung Status-Flags. 3. Assembler-Programmierung Der PIC 16F84A Microcontroller kennt 35 verschiedene Befehle. Für eine ausführliche Beschreibung aller Befehle siehe PIC16F84A-Datenblatt Kapitel 7.1. 3.1 Wichtige Flaggen im

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

Mikrocomputertechnik - Programmierung

Mikrocomputertechnik - Programmierung 3 Programmierung Assembler Aufgaben: Übersetzt mnemotechnische Abkürzungen (z.b. move, add...) in die Maschinenbefehle des Prozessors Ermöglicht die Vergabe von Namen für Speicheradressen (Label) Berechnet

Mehr

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung... 1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

5.BMaschinensprache und Assembler

5.BMaschinensprache und Assembler Die Maschinenprogrammebene eines Rechners Jörg Roth 268 5.BMaschinensprache und Assembler Die vom Prozessor ausführbaren Befehle liegen im Binärformat vor. Nur solche Befehle sind direkt ausführbar. So

Mehr

Elementare logische Operationen

Elementare logische Operationen RECHNERARCHITEKTUR 2 - ELEMENTARE LOGISCHE OPERATIONEN 1 Elementare logische Operationen Modifizieren, Testen,Vergleichen In diesem Abschnitt wollen wir zeigen, wie man mit den elementaren logischen Verknüpfungen

Mehr

Der MS-DOS Standardmonitor und Debugger DEBUG

Der MS-DOS Standardmonitor und Debugger DEBUG Einfache Assemblerprogrammierung mit DOS-DEBUG 1/7 Auf Personalcomputern kann in der Regel leicht eine einfache Umgebung zur Software-Entwicklung für die Intel Rechnerfamilie 80x86 eingerichtet werden.

Mehr

Sucosoft S40 KOP/FBS KOP FBS

Sucosoft S40 KOP/FBS KOP FBS Sucosoft S40 KOP/FBS KOP FBS Grafische Elemente Netzwerke erstellen Netzwerke erstellen Programme werden in KOP durch grafische Elemente dargestellt. Abfrage einer Variable auf den Zustand 1 Abfrage einer

Mehr