Technische Grundlagen der Informatik

Größe: px
Ab Seite anzeigen:

Download "Technische Grundlagen der Informatik"

Transkript

1 Technische Grundlagen der Informatik WS 2008/ Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1

2 Wiederholung ROM Inhalt Realisierung digitaler Systeme Endliche Automaten Moore Mealy Zwei Beispiele WS 2008/2009 Technische Grundlagen der Informatik 2

3 Festwertspeicher (ROM) Auf einen typischen ROM wird während des Betriebs ausschließlich lesend zugegriffen. Die Programmierung (Schreiben) eines ROM ist deutlich aufwändiger als der Lesezugriff. Der Aufbau eines ROM entspricht hinsichtlich der Matrixanordnung der Speicherzellen und der Adressverwaltung dem Aufbau eines RAM. WS 2008/2009 Technische Grundlagen der Informatik 3

4 Maskenprogrammierte ROM Für große Stückzahlen werden bei der Herstellung der Speicher die Information fest eingegeben. g Die zu speichernde Information wird mittels einer Metallisierungsmaske eingebracht. Beispiel: Gadgets WS 2008/2009 Technische Grundlagen der Informatik 4

5 Programmierbare ROM (PROM) Mit speziellen Programmiergeräten können PROM vom Anwender programmiert werden. Die Programmierung erfolgt durch die Herstellung von Verbindungen (Anti- Fuse) oder durch die Trennung von Verbindungen (Fuse). Die Programmierung ist irreversibel. WS 2008/2009 Technische Grundlagen der Informatik 5

6 UV-löschbares PROM (EPROM) EPROMS können mit speziellen Geräten programmiert und gelöscht werden. Für die Programmierung wird eine Spannung von ca. 20 Volt benötigt. Bei der Programmierung werden mit Hilfe des Avalanche-Effektes (Lawineneffektes) elektrische Ladungen injiziert. Für die Löschung wird entsprechend energiereiches Licht benötigt. Die Löschung dauert einige Minuten. Der Baustein muss i.a. zur Löschung aus der Schaltung entfernt werden. WS 2008/2009 Technische Grundlagen der Informatik 6

7 Elektrisch lösch- und programmierbare ROM (EEPROM) Der Baustein kann für den Löschvorgang in der Schaltung verbleiben. Für den Löschvorgang wird eine Spannung von ca. 20 Volt benötigt. Für Programmierung und Löschung wird der Tunneleffekt ausgenutzt. Jedem Schreibvorgang wird ein Löschvorgang vorgeschaltet. Der Schreibvorgang für ein Byte benötigt ca. 10 ms. Anzahl von Lösch-/Schreibzyklen: ca Speicherdauer: mindestens 10 Jahre WS 2008/2009 Technische Grundlagen der Informatik 7

8 Floating-Gate-Technologie für EEPROM-Speichertransistor WS 2008/2009 Technische Grundlagen der Informatik 8

9 Flash-Speicher Weiterentwicklung der EEPROMs. Im Fall des Flash-Speichers wir im Unterschied zu EEPROMS der Speicher blockweise (!) gelöscht. In ersten Realisierungen i wurde der Speicher mit einem Flash (Blitz) vollständig gelöscht. Ein Block umfasst ca. 0,5 KB 128 KB. Der direkte Zugriff auf einzelne Bytes ist prinzipiell nicht möglich. Dies entspricht dem Prinzip von Massenspeichern, die eine typische Blockgröße von 512 Byte haben. Moderne Typen kommen mit 5 Volt als Programmier- und Löschspannung aus. WS 2008/2009 Technische Grundlagen der Informatik 9

10 Aktuelle Flash-Speicher Lesen/Schreiben Kapazität Memory Stick 10/3 MB/s 8 GB (16 GB) CompactFlash (CF) 20/20 MB/s 8 GB (32 GB) SecureDigital Card (SD) 20/15 MB/s 16 GB (32 GB) USB-2.0-Stick 33/15 MB/s 32 GB (64 GB) Solid-State Disk (SSD) 175/100 MB/s (250/170 MB/s) 32 GB (256 GB) MultimediaCard (MMC) 15/15 MB/s 1 GB (4 GB) WS 2008/2009 Technische Grundlagen der Informatik 10

11 Zum Vergleich Speichertyp Speichertakt Bezeichnung Bandbreite SDRAM 133 MHz PC133 1,1 GB/s DDR MHz PC2100 2,1 GB/s DDR MHz PC3200 3,2 GB/s DDR MHz PC ,2 GB/s DDR MHz PC ,3 GB/s DDR MHz PC ,5 GB/s DDR MHz PC ,5 GB/s DDR MHz PC ,6 GB/s DDR MHz PC ,8 GB/s PC3200: 200 MHz 8 Byte 2 Zugriffe/Takt = 3200 MByte/s WS 2008/2009 Technische Grundlagen der Informatik 11

12 Fazit Eigenschaften SRAM DRAM EE- FLASH FRAM/ PROM MRAM Nichtflüchtig nein nein ja ja ja kleine Zellenmaße nein ja nein ja ja Wortweise les-/schreibbar ja ja ja nein ja geringer Leistungsbedarf ja ja nein nein ja schneller Schreibzugriff ja ja nein nein ja Schreibzyklen ja ja nein nein ja Kostengünstig nein ja nein ja ja WS 2008/2009 Technische Grundlagen der Informatik 12

13 Realisierung digitaler Systeme WS 2008/2009 Technische Grundlagen der Informatik 13

14 Realisierung digitaler Systeme Full Custom IC ASIC (Application Specific Integrated Circuit) Bausteine mit programmierbarer Logik WS 2008/2009 Technische Grundlagen der Informatik 14

15 Full Custom IC (Integrated Circuit) individuelle Entwicklung eines digitalen Systems lange Entwicklungszeiten sehr große Stückzahlen individuelle Fertigung geringer Stückpreis WS 2008/2009 Technische Grundlagen der Informatik 15

16 Application Specific Integrated Circuit (ASIC) Hersteller stellt umfangreiche Bibliotheken für Funktionen verkürzte Entwicklungszeiten Schaltung wird mit einer Hardware- Beschreibungssprache beschrieben Hersteller realisiert Schaltung auf der Basis eines adäquaten ASIC große Stückzahlen günstiger g Stückpreis WS 2008/2009 Technische Grundlagen der Informatik 16

17 Programmierbare Logik Hersteller bieten programmierbare Logik-Bausteine an Lösung wird vom Anwender entwickelt hohe Flexibilität kleine Stückzahlen hoher Stückpreis WS 2008/2009 Technische Grundlagen der Informatik 17

18 Programmierbare Bausteine PLD (Programmable Logic Device) programmierbare Logikelemente (seit Mitte der 70er) PLD stellen eine logische Grundstruktur zur Verfügung, die vom Entwickler nach Bedarf konfiguriert (programmiert) werden kann. Für hoch integrierte PLD stehen Beschreibungssprachen zur Verfügung. WS 2008/2009 Technische Grundlagen der Informatik 18

19 Verfahren zur Programmierung PROM (Programmable Read Only Memory)- Prinzip: Durchbrennen einer Sicherung (Fuse) oder Entfernen einer Isolierung (Antifuse), Programmierung ist irreversibel EPROM (Erasable PROM)-Prinzip: Programmierung kann durch Bestrahlung mit UV-Licht wieder gelöscht werden EEPROM (Electrical Erasable PROM)- Prinzip: Programmierung kann durch elektrische Impulse wieder gelöscht werden WS 2008/2009 Technische Grundlagen der Informatik 19

20 Typisierung PAL (Programmable Array Logic): Programmierbare UND-Matrix, feste Oder- Matrix, von einem Hersteller auch als GAL (Generic Array Logic) bezeichnet PLE (Programmable Logic Element): Programmierbare Oder-Matrix, feste Und-Matrix PLA (Programmable Logic Array): Programmierbare UND-Matrix und programmierbare ODER-Matrix WS 2008/2009 Technische Grundlagen der Informatik 20

21 Prinzip PAL Frei programmierbare UND-GATTER Fest verschaltete ODER-Gatter WS 2008/2009 Technische Grundlagen der Informatik 21

22 Beispiel PAL Y1 = ( X2 X3) ( X1 X2 X3) X1 Y2 = ( X1 X2 X3) ( X1 X2 X3) ( X 1 X 2) WS 2008/2009 Technische Grundlagen der Informatik 22

23 PLA Struktur D C B A Y 1 Y Die mit der Wahrheitstabelle definierten Booleschen Funktionen sollen mit der unten dargestellten PLA-Struktur realisiert werden. WS 2008/2009 Technische Grundlagen der Informatik 23

24 PLA Struktur Y 1 B A * * 0 1 * * 0 B * * 1 * 1 0 D 0 * 0 1 Y 2 C C A D WS 2008/2009 Technische Grundlagen der Informatik 24

25 PLA Struktur Y1 = ( A C D) ( A B D) ( A B C) Y2 = ( A B C ) ( A B D ) ( A B C ) ( A C D ) WS 2008/2009 Technische Grundlagen der Informatik 25

26 Erweiterung Einfache PAL Elemente haben mindestens 8 Ein- und Ausgänge Moderne PAL Bausteine verfügen über komplexe, programmierbare Makrozellen die Ausgänge verfügen über Register die Ausgänge können zurück gekoppelt werden WS 2008/2009 Technische Grundlagen der Informatik 26

27 CPLD (Complex Programmable Logic Device) komplexe PLDs mit einer Block-Struktur jeder Block entspricht einem einfachen PAL die Blöcke werden über eine programmier- bare Schaltmatrix t miteinander i verbunden ein einzelner Block enthält typischerweise ca. 50 Eingänge und Ausgänge jeder Ausgang kann aus Produkt- termen gebildet werden WS 2008/2009 Technische Grundlagen der Informatik 27

28 FPGA (Field Programmable Gate Array) frei programmierbarer Logikschaltkreis aus einzelnen Logikblöcken (CLBs Configurable Logic Blocks) aufgebaut in den einzelnen Blöcken werden einfache Operationen und auch Flip-Flop-Logik Flop zur Verfügung gestellt teilweise werden FPGAs ausschließlich über Look-Up Tabellen realisiert hohe Komplexität Selbstkonfigurierende Systeme werden möglich WS 2008/2009 Technische Grundlagen der Informatik 28

29 CPLD vs. FPGA CPLD Wenige Logikblöcke mit großer Anzahl an Makrozellen Kurze Wege FPGA Viele Logikblöcke mit kombinatorischer Logik Lange Wege Platzierung und Routing fest vorgegeben Platzierung und Routing variabel Schaltzeiten einfach vorhersagbar Schaltzeiten sind von der Größe des Designs sowie Platzierung und Routing abhängig Hohe Taktfrequenzen unabhängig von der konkreten Schaltung Taktfrequenz ist von der Größe der Schaltung abhängig Kleine und mittelgroße Für sehr komplexe Schaltungen Schaltungen geeignet g WS 2008/2009 Technische Grundlagen der Informatik 29

30 Programmierung (CPLD, FPGA) Beispiel: isplever WS 2008/2009 Technische Grundlagen der Informatik 30

31 Programmierung g ISP (In System Programming) HDL (Hardware Description Language) VHDL (VHSIC HDL) VHSIC (Very High Speed Integrated Circuit) Abel (Advanced Boolean Expression/Equation Language) Abel wurde in den 80er Jahren entwickelt und ist für kleinere Schaltungen hinreichend. VHDL und Verilog sind die weltweit am meisten genutzten Hardware-Beschreibungssprachen und sind beide von IEEE standardisiert. WS 2008/2009 Technische Grundlagen der Informatik 31

32 Automaten Ein endlicher Automat ist ein Modell, das zur Modellierung diverser Problemstellungen verwendet werden kann. Ursprung: Biologie (McCulloch, Pitts 1943), Elektrotechnik (Mealy, 1955), Linguistik (Chomsky, 1956). WS 2008/2009 Technische Grundlagen der Informatik 32

33 Anwendung in der Digitaltechnik Mit endlichen Automaten kann eine abstrakte Beschreibung von Schaltwerken realisiert werden. auch: Finite State Machine (FSM) Zur Darstellung der Modellierung werden häufig Zustandsdiagramme und Zustandsfolgetabellen verwendet. WS 2008/2009 Technische Grundlagen der Informatik 33

34 Prinzip Aktuelle Zustand des Automaten wird in einem Speicher (Register) gehalten. Aus dem aktuellen Zustand und den Eingangssignalen wird ein Folgezustand berechnet. Der neue Zustand wird (synchronisiert) in den Speicher geschrieben. WS 2008/2009 Technische Grundlagen der Informatik 34

35 Definition Ein endlicher Automat ist ein Fünftupel A=(X,Y,S,f,g). X ist ein endliches nichtleeres Eingabealphabet. Y ist ein endliches nichtleeres Ausgabealphabet. S ist eine endliche nichtleere Menge von Zuständen. f: Zustands(überführungs)funktion g: Ausgabefunktion WS 2008/2009 Technische Grundlagen der Informatik 35

36 Moore-Automat Ausgangssignale sind nur vom Zustand ab- hängig. S = f(s *,X) Y = g(s) WS 2008/2009 Technische Grundlagen der Informatik 36

37 Mealy Automat Bei Mealy-Automaten wechselt das Ausgangs- g signal schon bei der Veränderung des Eingangssignals. S = f(s*,x) Y=g(SX) g(s,x) WS 2008/2009 Technische Grundlagen der Informatik 37

38 Zustandsgraphen (Zustandsdiagramme) Ein Zustandsgraph besteht aus Knoten und gerichteten Kanten. Die Knoten beschreiben die Zustände. Die Kanten stellen die Übergänge zwischen den Zuständen dar. Vor dem / steht die Eingangsbedingung, hinter dem / steht das Ausgangssignal. WS 2008/2009 Technische Grundlagen der Informatik 38

39 Beispiel: SR Flip-Flop ( S R)/1 S / 0 ( S R )/0 R /1 WS 2008/2009 Technische Grundlagen der Informatik 39

40 Endliche Automaten für Schaltwerke WS 2008/2009 Technische Grundlagen der Informatik 40

41 Zyklische Folgeschaltung t A B C Das System wird vom Takt getrieben Zustände, zyklische Wiederholung Flip-Flops können 8 Zustände realisieren Realisierung mit SR Flip-FlopsFlops WS 2008/2009 Technische Grundlagen der Informatik 41

42 Zyklische Folgeschaltung AS = C B BS = B CS = C ( B A ) AR = C B BR = B CR = C B WS 2008/2009 Technische Grundlagen der Informatik 42

43 Endliche Automaten für Schaltwerke WS 2008/2009 Technische Grundlagen der Informatik 43

44 Zyklische Folgeschaltung A B C D1 D2 D Erstellen Sie die Zustandsfolgetabelle WS 2008/2009 Technische Grundlagen der Informatik 44

45 Zyklische Folgeschaltung A B C D1 D2 D Ermitteln Sie die Minimalformen Skizzieren Sie die Schaltung Zeichnen Sie den vollständigen Zustandsgraphen WS 2008/2009 Technische Grundlagen der Informatik 45

46 D2 D1 A B C D1 D2 D3 C B A C D * 0 * 1 0 D1 = ( C A) ( C B) C * * B 0 * 0 0 B 0 * 1 1 D 2 A = B D 3 = ( B A ) ( C B ) WS 2008/2009 Technische Grundlagen der Informatik 46 A

47 Endliche Automaten für Schaltwerke WS 2008/2009 Technische Grundlagen der Informatik 47

48 Schaltungsaufbau Verknüpfungsnetzwerk (VN) WS 2008/2009 Technische Grundlagen der Informatik 48

49 vollständiger Aufbau WS 2008/2009 Technische Grundlagen der Informatik 49

50 Zustandsgraph Bedingungen? vollständig? WS 2008/2009 Technische Grundlagen der Informatik 50

51 Vollständiger Zustandsgraph WS 2008/2009 Technische Grundlagen der Informatik 51

52 Übung Es soll eine zyklische Folgeschaltung lt mit JK-FlipFlops realisiert werden, die in Einerschritten von 0 bis 2 aufwärts zählt und anschließend wieder abwärts: {Y1,Y0} = { 0, 1, 2, 1, ab hier wiederholen } Skizzieren Sie einen vollständigen Zustandsgraphen der geforderten Folgeschaltung. Geben Sie die Ausgangssignale in der Form -/Y1Y0 an den Übergängen g an. Nummerieren Sie die FlipFlops mit A, B,.. und erstellen Sie die Wertetabellen für alle J- und K-Eingänge g und die gewünschten Ausgänge Y1, Y0 Erstellen Sie die KV-Diagramme für alle J- und K-Eingänge g und die Ausgänge Y1 und Y0 und geben Sie die Gleichungen (DMF) für die Eingangsbeschaltungen an. WS 2008/2009 Technische Grundlagen der Informatik 52

53 Übung Skizzieren Sie ein vollständiges Zustandsübergangsdiagramm der geforderten Folgeschaltung. Geben Sie die Ausgangssignale in der Form -/Y1Y0 an den Übergängen an. {Y1,Y0} = { 0, 1, 2, 1, ab hier wiederholen } WS 2008/2009 Technische Grundlagen der Informatik 53

54 Übung Nummerieren Sie die FlipFlops mit A, B,.. und erstellen Sie die Wertetabellen für alle J- und K-Eingänge und die gewünschten Ausgänge g Y1, Y0 QB QA JB KB JA KA Y 1 Y WS 2008/2009 Technische Grundlagen der Informatik 54

55 Übung Nummerieren Sie die FlipFlops mit A, B,.. und erstellen Sie die Wertetabellen für alle J- und K-Eingänge und die gewünschten Ausgänge g Y1, Y0 QB QA JB KB JA KA Y 1 Y WS 2008/2009 Technische Grundlagen der Informatik 55

56 Übung Nummerieren Sie die FlipFlops mit A, B,.. und erstellen Sie die Wertetabellen für alle J- und K-Eingänge und die gewünschten Ausgänge g Y1, Y0 QB QA JB KB JA KA Y 1 Y * 1 * * * * 0 1 * * 1 * WS 2008/2009 Technische Grundlagen der Informatik 56

57 Übung JB = QA JA = 1 Y1 =!QA * QB KB = QA KA = 1 Y0 = QA 1 J J clk FF A clk FF B 1 K K & Y0 Y1 WS 2008/2009 Technische Grundlagen der Informatik 57

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 8. Vorlesung Klaus Kasper Inhalt Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Automaten Digitaltechnik 2 2 Halbleiterspeicher

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt?

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt? Was ist VHDL? V: very high speed integrated circuit HDL hardware description language mächtige HW-Beschreibungssprache Wozu hat man VHDL entwickelt? weitere HDLs? - HW beschreiben, beschleunigen - Simulation

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

7.0 Endliche Zustandsautomaten und Steuerwerke

7.0 Endliche Zustandsautomaten und Steuerwerke 7.0 Endliche Zustandsautomaten und Steuerwerke Die Ziele dieses Kapitels sind: Aufbau und Funktionsweise von Schaltwerken zu verstehen Verschiedene Realisierungsmöglichkeiten von Schaltwerken mittels Zustandsautomaten

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA?

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA? FPGA Übersicht der Aktuellen Bausteine Von Markus Stanczyk Inhaltsverzeichnis 1. Einführung 1.1 Was ist ein FGPA? 2. Aufbau eines FPGA 2.1 Logikblöcke 2.2 Verbindungsarchitektur 3. Marktüberblick 3.1 Allgemein

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen.

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen. 1. Vorbereitung: 1.1 Zählerbaustein 74163 Bei den in der Schaltung verwendeten Zählerbausteinen handelt es sich um synchron programmierbare 4-bit-Binärzähler mit synchronem Clear. Die Zähler sind programmierbar,

Mehr

Eingebettete Systeme Modellierung und Zielarchitekturen

Eingebettete Systeme Modellierung und Zielarchitekturen Eingebettete Systeme Modellierung und Zielarchitekturen Vorlesungsbegleitende Unterlagen WS 2003/2004 Klaus Waldschmidt Teil 9 Field Programmable Gate Arrays - FPGA Literatur: 1. M. Wannemacher, Das FPGA-Kochbuch,

Mehr

16-Segment- Dekoder (mit CPLD)

16-Segment- Dekoder (mit CPLD) 16-Segment- Dekoder (mit CPLD) Autor: Buchgeher Stefan Letzte Bearbeitung: 20. Juli 2005 Inhaltsverzeichnis 1. EINLEITUNG...5 2. WAHRHEITSTABELLE DES 16-SEGMENT-DEKODERS...6 3. UMSETZUNG DER WAHRHEITSTABELLE

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch omutertechnik r. Wolfgang Koch 4.3 Schaltwerke, Sequentielle Schaltungen Seicher, Register... : Frühere Eingaben (innere Zustände) sielen eine Rolle (werden geseichert) Friedrich Schiller University Jena

Mehr

Mikrocomputertechnik

Mikrocomputertechnik Mikrocomputertechnik Thema: Grundlage Informationseinheiten Zahlensysteme Zahlendarstellung im Computer Digitaltechnikgrundlagen Halbleiterspeicher Rechnerarchitektur Informationseinheiten BIT NIBBLE MSB

Mehr

1 Mikrocontroller. Mikroprozessortechnik MFB. Speicher, Programmübertragung

1 Mikrocontroller. Mikroprozessortechnik MFB. Speicher, Programmübertragung 1 Mikrocontroller... 1 1.1 Speicherarchitekturen bei uc... 1 1.2 Externer Speicher (Programm/Daten)... 2 1.3 Speicher als Peripherie... 2 2 Speichertechnologien... 2 2.1 RAM... 2 2.2 ROM... 2 2.3 PROM...

Mehr

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung... 1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Übersicht. 1. Was ist Flash Memory? 2. Wie funktioniert Flash Memory? Einordnung Vorteile, Nachteile. Spezielle Technologie Schreiben Lesen.

Übersicht. 1. Was ist Flash Memory? 2. Wie funktioniert Flash Memory? Einordnung Vorteile, Nachteile. Spezielle Technologie Schreiben Lesen. Übersicht 1. Was ist Flash Memory? Einordnung Vorteile, Nachteile 2. Wie funktioniert Flash Memory? Spezielle Technologie Schreiben Lesen Seite 2 Übersicht 3. Wo wird Flash Memory eingesetzt? Anwendungen

Mehr

System- Realisierung

System- Realisierung 3 Entwurf, Simulation und Synthese von digitalen Strukturen 3.1 Programmierbare Logikschaltungen System- Realisierung Standard- Bauelemente ASIC Application Specific Integrated Circuit Mikroprozessor,

Mehr

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack)

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack) Dies ist nun also die freundlicherweise von mir mitgetippte Fassung der Vorlesung Digitaltechnik (2. Semester) bei Hr. Schillack an der BA-Mannheim. Ich hoffe ihr könnt damit was anfangen. Fehler, Kritik,

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 4 Technologische

Mehr

AND OR NOT NAND NOR XNOR XOR A B Y 0 0 1 0 1 1 1 0 1 1 1 0

AND OR NOT NAND NOR XNOR XOR A B Y 0 0 1 0 1 1 1 0 1 1 1 0 1. Grundlagen Binär: Es existieren nur zwei definierte Zustände (0, 1), (L, H), (0V, 5V), Redundanz: Anzahl notwendige Bitstellen, um Zustände zu kodieren: Z = 2n (n=anzahl Bits) Kontinuierlich Diskret

Mehr

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Prof. Dr.-Ing. Sorin A. Huss Fachbereich Informatik Integrierte Schaltungen und Systeme SS 2009 Integrierte Schaltungen und Systeme Einführung

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Sequenzielle Schaltungen (1)

Sequenzielle Schaltungen (1) Sequenzielle Schaltungen () Sequenzielle Schaltung: Schaltung, deren Ausgänge sowohl von den momentan anliegenden als auch von früheren Eingangsbelegungen abhängen. Wesentliche Elemente einer CPU wie Register,

Mehr

Programmierbare Logik

Programmierbare Logik Programmierbare Logik Ein Überblick über programmierbare logische Bausteine TU Berlin FG emsp 1 Einleitung Verschiedene Realisierungsmöglichkeiten von logischen Zusammenhängen 1. Kombination von einfachen

Mehr

VHDL - Technologische Grundlagen

VHDL - Technologische Grundlagen VHDL - Technologische Grundlagen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 2012 1 / 48 Gliederung Technologien Programmierbare Logikbausteine

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

HANSER. von Prof. Dipl.-Ing. Johannes Borgmeyer. 2., verbesserte Auflage

HANSER. von Prof. Dipl.-Ing. Johannes Borgmeyer. 2., verbesserte Auflage 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. von Prof. Dipl.-Ing. Johannes Borgmeyer 2., verbesserte Auflage Mit

Mehr

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs in Zukunft Richard Roth / FB Informatik und Mathematik Speicher 2 DRAM Speicherzelle (Trench Technology)

Mehr

Nicht flüchtige Speicher: Nicht löschbar: ROM, PROM (z.b. System). löschbar: EPROM, EEPROM, Flash (z.b. BIOS).

Nicht flüchtige Speicher: Nicht löschbar: ROM, PROM (z.b. System). löschbar: EPROM, EEPROM, Flash (z.b. BIOS). 3. Speicher 3.1. Überblick Entwicklung: Speicherchips Chip-Kapazität: 256 kbit (ca. 1988) 4 GBit (2001, nicht in Serie). Zugriffszeiten: 250ns (1980), 145 ns (1992), 70ns (1994), 7ns (heute). Ursprüngliche

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

PALs, CPLDs und FPGAs

PALs, CPLDs und FPGAs PALs, CPLDs und FPGAs P. Fischer, ziti, Uni Heidelberg, Seite 1 Bezeichnungen Sehr ähnliche Bauelemente werden oft unterschiedlich bezeichnet, z.t. nur aus Marketing-Gründen PLD = Programmable Logic Devices

Mehr

Digitale Elektronik 2 Hirarchisches Design und Programmierbare Logik. Dr. T.J.H. Kluter A. Habegger

Digitale Elektronik 2 Hirarchisches Design und Programmierbare Logik. Dr. T.J.H. Kluter A. Habegger Digitale Elektronik 2 Hirarchisches Design und Programmierbare Logik Dr. T.J.H. Kluter A. Habegger March 7, 22 Contents Hierarchisches Design. DerDivideandConquerAnsatz........................2 Kommunikation.................................3

Mehr

[SPEICHERCHIPS-TECHNOLOGIEN]

[SPEICHERCHIPS-TECHNOLOGIEN] 2009 Fachseminar Autor: Prof. Dr. Karl Otto Linn [SPEICHERCHIPS-TECHNOLOGIEN] Inhaltsverzeichnis 1 ERKLÄRUNG... 4 2 MOTIVATION... 4 3 EINLEITUNG... 4 4 SPEICHERCHIPS-TECHNOLOGIEN... 6 4.1 Flüchtiger Speicher...

Mehr

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung...1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Digitaltechnik Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Seite 2 Einführung Digitaltechnik Wintersemester 2015 Inhaltsverzeichnis

Mehr

Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Digitale Schaltungstechnik. Prof. Dr. P. Fischer Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Organisatorisches Vorlesung: Termin: Mo 16:00 17:30 (Block 4) Mi 9:15 10:45 (Block 1) Ort: INF348, SR013 Dozent:

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme SSDs und Flash Memory Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme Seite 2 Inhalt Motivation Aufbau und Funktionsweise NAND vs NOR SLC vs MLC Speicherorganisation Vergleich mit konventionellen

Mehr

Technische Informatik (Master)

Technische Informatik (Master) Technische Informatik (Master) Themen am 08.10.2015: Mögliche Themen des Semesters, Termine Zielvorstellungen vereinbaren. Achtung: Die vorgeführten Beispiele sind NICHT auf den Folien -> mitschreiben!

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Technologie Überblick Flash & Antifuse vs. SRAM. André Ehlert

Technologie Überblick Flash & Antifuse vs. SRAM. André Ehlert Technologie Überblick Flash & Antifuse vs. SRAM André Ehlert Agenda > Abgrenzungsmerkmale Firm Error Kopierschutz Leistungsaufnahme Systemkosten Zusammenfassung FPGA Allgemeiner Aufbau und Funktion FPGA

Mehr

Datenflussrechnen mit FPGAs für die biomedizinische Bildverarbeitung

Datenflussrechnen mit FPGAs für die biomedizinische Bildverarbeitung Datenflussrechnen mit FPGAs für die biomedizinische Bildverarbeitung Frederik Grüll, Udo Kebschull Infrastruktur und Rechnersysteme in der Informationsverarbeitung Goethe-Universität Frankfurt ZKI-Frühjahrstagung

Mehr

Digitaltechnik. Digitaltechnik Teil 3-1 - Prof. Komar. Teil 3: Programmierbare Logik. Inhaltsverzeichnis

Digitaltechnik. Digitaltechnik Teil 3-1 - Prof. Komar. Teil 3: Programmierbare Logik. Inhaltsverzeichnis Digitaltechnik Teil 3-1 - Prof. Komar Digitaltechnik Teil 3: Programmierbare Logik Inhaltsverzeichnis Speicher... 2 Umlaufspeicher, FIFO, LIFO... 3 Halbleiterspeicher (Matrixspeicher)... 5 Nichtflüchtige

Mehr

Epromologie, Grundwissen

Epromologie, Grundwissen Epromologie, Grundwissen von Peter Bee und Erwin Reuß EPROM's, oder ROM's, sind eine sehr nützliche Sache. Eingesetzt werden sie immer dann, wenn ein Programm sofort nach dem Einschalten des Computers

Mehr

Übersicht über Technologie und Nutzung von Solid State Drives

Übersicht über Technologie und Nutzung von Solid State Drives Fakultät Informatik, Institut für Angewandte Informatik, Professur für Technische Informationssysteme Übersicht über Technologie und Nutzung von Solid State Drives WS 2010/11, 6. Dezember 2010 Betreuer:

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

10 Übungsaufgaben mit Lösungen

10 Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen Zu den einzelnen Kapiteln sind zahlreiche Übungsaufgaben mit ausführlichen Musterlösungen angegeben. Der Leser soll möglichst die Aufgaben selbständig lösen und anschließend

Mehr

Solid State Drive SSD (Festkörperlaufwerk) von Axel Ristow 18. Mai 2009

Solid State Drive SSD (Festkörperlaufwerk) von Axel Ristow 18. Mai 2009 Solid State Drive SSD (Festkörperlaufwerk) von Axel Ristow 18. Mai 2009 Gliederung 1. Was ist ein Solid State Drive? 2. Aufbau und Funktionsweise 3. Leistungsvergleich 4. Ausblick 5. Quellen Seite 2/19

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

BLIT2008-Board. Uwe Berger

BLIT2008-Board. Uwe Berger 11/2008 (BLIT) 2 Inhalt Was sind Mikrocontroller AVR-Mikrocontroller Werkzeugkasten Prinzipielles zur Programmierung BLIT2008-Board 11/2008 (BLIT) 3 Was sind Mikrocontroller AVR-Mikrocontroller Werkzeugkasten

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Die "Highspeed (High End) Acceleration" Lösung. High-End-Acceleration Platforms. eine Lösung für viele Aufgaben

Die Highspeed (High End) Acceleration Lösung. High-End-Acceleration Platforms. eine Lösung für viele Aufgaben Die "Highspeed (High End) Acceleration" Lösung High-End-Acceleration Platforms Daughterboards eine Lösung für viele Aufgaben "High-Speed-Acceleration"-Merkmale 1. Leistungsstärkste Lösung für (On the fly-)

Mehr

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream Massgeschneiderte Computersysteme Christoph Zimmermann Marc-André Beck Berner Fachhochschule MedOnStream 1. März 2008 Gliederung 1 GNU/Linux in eingebetteten Systemen Einsatzort Vorteile Distribution 2

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell: inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Digitaltechnik. 6 Speicherelemente. Revision 1.4

Digitaltechnik. 6 Speicherelemente. Revision 1.4 Digitaltechnik 6 Speicherelemente A Revision 1.4 Übersicht Adressen Read-Only Memory ROM Random Access Memory RAM Datenbusse Caches Speicher Memory ROM: read-only memory RAM: random-access memory (besser

Mehr

Kombinatorische Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Kombinatorische Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Kombinatorische Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Überblick Analog- und Digitaltechnik Boolesche Algebra Schaltfunktionen Gatter Normalformen

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr. Jürgen Reichardt, Oldenbourg Verlag München Inhaltsverzeichnis Vorwort V 1 Einleitung 1 1.1 Die Hardwarebeschreibungssprache VHDL 3 1.2 Digitale

Mehr

Marco Sliwa. Regional Sales Manager Deutschland JTAG Technologies B.V.

Marco Sliwa. Regional Sales Manager Deutschland JTAG Technologies B.V. Boundary Scan von der Entwicklung bis zur Produktion Marco Sliwa Regional Sales Manager Deutschland JTAG Technologies B.V. Überblick JTAG Technologies Gegründet 1993 Weltweit führendes Unternehmen im Bereich

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

Open Source für (Münchner) Unternehmer. Donatus Schmid Sprecher der Geschäftsleitung Marketing Direktor Sun Microsystems GmbH

Open Source für (Münchner) Unternehmer. Donatus Schmid Sprecher der Geschäftsleitung Marketing Direktor Sun Microsystems GmbH Open Source für (Münchner) Unternehmer und fürvirtualization Hersteller Solutions Sun Donatus Schmid Sprecher der Geschäftsleitung Marketing Direktor Sun Microsystems GmbH Open Source: Mythen und Realität

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Feldeffekttransistoren in Speicherbauelementen

Feldeffekttransistoren in Speicherbauelementen Feldeffekttrasistore i Speicherbauelemete DRAM Auch we die Versorgugsspaug aliegt, ist ei regelmäßiges (typischerweise eiige ms) Refresh des Speicherihaltes erforderlich (Kodesator verliert mit der Zeit

Mehr

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754.

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. a) Stellen Sie die Zahl 7,625 in folgender Tabelle dar! b) Wie werden denormalisierte

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

C. Sequentielle Logik

C. Sequentielle Logik C. Sequentielle Logik C.1. Einordnung Schaltungen mit innerem Zustand. Nächster Zustand nach jeweils t. Im Prinzip getaktete Schaltungen. Speichernde Schaltungen. Grosser Zustandsraum. Höhere Informatik

Mehr

Steuerungen. 4 Typen verbindungsprogrammierte Steuerung (VPS), speicherprogrammierte Steuerung (SPS), Mikrokontroller (MC) und Industrie-PCs (IPC)

Steuerungen. 4 Typen verbindungsprogrammierte Steuerung (VPS), speicherprogrammierte Steuerung (SPS), Mikrokontroller (MC) und Industrie-PCs (IPC) Steuerungen 4 Typen verbindungsprogrammierte Steuerung (VPS), speicherprogrammierte Steuerung (SPS), Mikrokontroller (MC) und Industrie-PCs (IPC) VPS - Funktion der Steuerung in der Schaltungstopologie

Mehr

Inhaltsverzeichnis. Formelzeichen und Naturkonstanten... XIII

Inhaltsverzeichnis. Formelzeichen und Naturkonstanten... XIII Formelzeichen und Naturkonstanten... XIII 1 Halbleiter-Grundlagen...1 1.1 Halbleitermaterialien...1 1.2 Bindungsmodell...3 1.2.1 Gitterstruktur...3 1.2.2 Eigenleitung...4 1.2.3 Störstellenleitung...7 1.3

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Course DEVICES & CIRCUITS

Course DEVICES & CIRCUITS Course DEVICES & CIRCUITS Chapter: Semiconductor Memories Michael E. Auer Source of figures: Jaeger/Blalock: Microelectronic Circuit Design, McGraw-Hill Course Content Introduction and Milestones in Microelectronics

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Versuch: PLD - Programmierbare Logikbausteine

Versuch: PLD - Programmierbare Logikbausteine Fachhochschule Braunschweig/Wolfenbüttel Labor für Datentechnik Prof. Dr.-Ing. R. Bermbach Versuch: PLD - Programmierbare Logikbausteine Inhaltsverzeichnis 1 EINLEITUNG... 3 1.1 VERSUCHSVORBEREITUNG...

Mehr