Praktikum Mikrorechner 8 (Pulsweitenmodulation und Automaten)

Größe: px
Ab Seite anzeigen:

Download "Praktikum Mikrorechner 8 (Pulsweitenmodulation und Automaten)"

Transkript

1 G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 /6 Praktikum Mikrorechner 8 (Pulsweitenmodulation und Automaten) G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24

2 . Pulsweitenmodulation G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 2/6 Pulsweitenmodulation

3 . Pulsweitenmodulation. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 3/6 Stufenlose Leistungssteuerung (PWM) PWM Pulsweitenmodulation U V U V2 x DIS R A U A U A U V2 x t ein t T P Die umgesetzte Leistung über dem Lastwiderstand beträgt im Mittel: P L = t ein U V2 2 T P R A

4 . Pulsweitenmodulation G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 4/6 Geschwindigkeitssteuerung des Lüftermotors Speed % Zeit in PWM Perioden speed data 6h drive bit P.2... mov speed, # loop: loop: lcall PWM_Pulse inc speed mov a, #ffh cjne a, speed, loop loop2: lcall PWM_Pulse dec speed mov a, # cjne a, speed, loop2 ljmp loop

5 . Pulsweitenmodulation Erzeugung eines einzelnen Impulses PWM_Pulse: ; PWM-Pulse, Dauer ca. ms mov PWM_speedCt, speed setb drive PWM_loop: <Makro oder UP zum Warten von 39 µs> djnz PWM_speedCt, PWM_loop clr drive mov a, speed cpl a inc a mov PWM_speedCt, a PWM_loop2: <Makro oder UP zum Warten von 39 µs> djnz PWM_speedCt, PWM_loop2 ret. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 5/6

6 2. Automaten G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 6/6 Automaten

7 2. Automaten G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 7/6 Ablaufsteuerungen und Automaten Z y<= x= x= x= x= Z2 y<= x= Z3 y<= x= z (i) Kante 2... (i,j) wiederhole immer (i,j) Unterscheidung nach Zustand z2 (i) Kante 2... (i,j) (i,j)... sonst (i) (i) (i,j) Aktion Zustand i Aktion Kante i,j

8 2. Automaten Kleine Automaten (AZ AE < 256) Adresse Befehlsspeicher loop: <Eingabe> pc+h Z, K pc+h Z, K2 pc+2h Z2, K Z2, K2 pc+2h pc+22h... movc ret Ausgabe Ausgabe... <TabEintrag = Eingabe & Zustand> <Ausgabe & Folgezust = LUT(TabEintrag) <Trennen Ausgabe & Folgezustand> jmp loop Folgezustand Folgezustand... Ausgabe Folgezustand Ausgabe... Folgezustand.... Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 8/6

9 2. Automaten G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 9/6 Vor-/Rückwärtszähler für Tasteneingaben T= P<=P+ Warte auf Tastendruck T2= Taste gedrückt T= T2= P<=P Taste 2 gedrückt Eingabe Zust. Ausgabe Folge T2 T dec inc zust. e3 e2 e e a5 a4 a a x LUT(x) h h 2h 3h 4h 5h 6h h... h h h h h h 2h h wiederhole immer Eingaben einlesen Tabellenindex aus der Eingabe und dem Zustand zusammensetzen Folgezustand und Ausgabe aus der Tabelle auslesen

10 G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 /6 2. Automaten State data 6h... mov State, # loop: mov a, P3 anl a, #b orl a, State jz M: lcall LUT jnb acc.4, M2 inc P M2: jnb acc.5, M dec P M: anl a, #3 mov State, a ; Entprellung wait_ms_mac 5 ljmp loop LUT: inc a movc ret db,, 2,, h,...

11 2. Automaten Groÿe Automaten case-anweisung (Sprungverteiler) für Zustände if-then-else-anweisung zur Kantenunterscheidung ; Variablen und Zustände, Taster State data 6h zwarte equ h zt equ 2h zt2 equ 4h T bit P3.2 T2 bit P3.3 ; ; Initialisierung org h mov State, #zwarte ; Anfangszustand mov P, # ; Zählstand= ; G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 /6

12 2. Automaten m_loop: wait_ms_mac ; Endlosschleife ; Entprellung ; ; Zustands-Sprungverteiler ; mov a, State mov dptr, #Sprungtabelle Sprungtabelle: ajmp m_zwarte ajmp m_zt ajmp m_zt2 ; m_zwarte: jb T, m_zwarte mov State, #zt ; Kante zwarte, T= inc P ljmp m_loop G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 2/6

13 2. Automaten G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 3/6 m_zwarte: jb T2, m_loop mov State, #zt2 ; Kante zwarte, T2= dec P ljmp m_loop m_zt: jnb T, m_loop mov State, #zwarte ; Kante zt, T= ljmp m_loop m_zt2: jnb T2, m_loop mov State, #zwarte ; Kante zt2, T2= ljmp m_loop

14 3. Aufgaben G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 4/6 Aufgaben

15 3. Aufgaben. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 5/6 Aufgabe 8.:Einstellung der Lüftergeschwindigkeit Bringen Sie das Programm mit dem über zwei Tasten gesteuerten Vor-/Rückwärtszähler zum Laufen. Steuern Sie mit der einstellbaren Variable die relative Pulsweite für den Lüfter. Hinweis: Wenn Sie den Lüfter ansteuern, können Sie über P nicht gleichzeitig den Einstellwert anzeigen.

16 3. Aufgaben G. Kemnitz Institut für Informatik, Technische Universität Clausthal 5. November 24 6/6 Aufgabe 8.2: RGB-Leuchtdiode Über die Ports P.3, P.5 und P.7 kann die Helligkeit und der Farbton der RGB-Leuchtdiode durch Pulsweitenmodulation stufenlos eingestellt werden. Schreiben Sie ein Programm, in dem die relative Pulsbreite der drei Ansteuersignale für die RGB-Leuchtdiode über Konstanten eingestellt werden können. Variieren Sie die Werte der Konstanten so, dass die Diode weiÿ leuchtet.

Gegenüberstellung von Assembler- und C-Programmierung

Gegenüberstellung von Assembler- und C-Programmierung Gegenüberstellung von Assembler- und C-Programmierung Assembler-Version C-Version org 8000h #pragma code=0x8000 #pragma xdata=0x2000 INPUT equ 0e081h OUTPUT equ 0e082h neu: mov dptr,#input movx a,@dptr

Mehr

Mikrocontrollertechnik

Mikrocontrollertechnik Aufgaben: Impulse zählen (Zählerfunktion) Zeitintervalle erzeugen (Zeitgeberfunktion) Prinzipschaltbild: Die Programmierung erfolgt mit den Registern TMOD und TCON: timer.doc TSC Seite 1/8 timer.doc TSC

Mehr

Das Projekt Pathfinder

Das Projekt Pathfinder Das Projekt Pathfinder Das Entwicksteam und die französischen Kommilitonen Eine Studie der HS-Karlsruhe im Rahmen der Vorlesungen Konstruktion IV & Mikrocomputertechnik Rahmenbedingungen Problemstel An

Mehr

Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c

Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c 1 Transportbefehle 1.1 Verwendung nur Akku und Register (R0, R1,... R7) 1.1.1 Kopieren Sie den Wert aus Register1 nach

Mehr

Zähler- und Zeitgeber-Baugruppen

Zähler- und Zeitgeber-Baugruppen Zähler- und Zeitgeber-Baugruppen Sinn: häufig müssen Zeitbedingungen eingehalten werden z.b.: einige ms warten, Häufigkeit von Ereignissen zählen etc... Lösung: 1.) Zeitschleifen = Programm abarbeiten,

Mehr

7.0 PWM - Pulsbreitenmodulation

7.0 PWM - Pulsbreitenmodulation 7.0 PWM - Pulsbreitenmodulation PWM ist eine Abkürzung für Pulse Width Modulation (Pulsbreitenmodulation). Zwei unabhängige PWM-Ausgänge erlauben die Erzeugung von Rechtecksignalen mit einstellbarer Frequenz

Mehr

Steffen Weißer Juni 2003 TG 12/3

Steffen Weißer Juni 2003 TG 12/3 Steffen Weißer Juni 2003 TG 12/3 I Inhaltsverzeichnis I Inhaltsverzeichnis...1 II Aufgabenbeschreibung...3 III Inbetriebnahme...3 IV Technologieschema...4 V Schaltplan...5 VI Funktionsbeschreibungen...6

Mehr

8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382

8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382 8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382 Der MAX5382 ist ein 8 Bit DA Wandler im SOT23 Gehäuse. Der MAX5380 besitzt eine interne Referenzspannung von 2 V, der MAX5381 von 4 Volt und der verwendete

Mehr

Lösungen zum Kurs "Mikrocontroller Hard- und Software

Lösungen zum Kurs Mikrocontroller Hard- und Software Lösungen zum Kurs "Mikrocontroller Hard- und Software Gerhard Schmidt Kastanienallee 20 64289 Darmstadt http://www.avr-asm-tutorial.net Lösung Aufgabe 2 Aufgabe 2 sbi DDRB,PB0 2 Takte sbi PORTB,PB0 2 Takte

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

Maschinensprache und Assembler

Maschinensprache und Assembler 4. Befehle, die ein Digitalrechner ausführen soll, müssen binär codiert vorliegen. Diese Befehle werden als Maschinenbefehle bezeichnet. Will man z.b. beim 8051 den Inhalt des Accus in das Register R2

Mehr

Tutorübung 7: Mikroprogrammierung I

Tutorübung 7: Mikroprogrammierung I Tutorübung 7: Mikroprogrammierung I Vorlesung Einführung in die Technische Informatik (ETI) Lehrstuhl für Rechnertechnik und Rechnerorganisation Institut für Informatik 10 Technische Universität München

Mehr

Skriptum Mikrocontroller-Systeme. Kapitel 6: Kapitel 7:

Skriptum Mikrocontroller-Systeme. Kapitel 6: Kapitel 7: Hochschule Karlsruhe Fakultät Elektro- und Informationstechnik Studiengang Energie- und Automatisierungstechnik Skriptum Mikrocontroller-Systeme Kapitel 6: Befehlssatz des Mikrocontrollers C517 Kapitel

Mehr

Serie 8: Microcontroller 17./18.07.2014

Serie 8: Microcontroller 17./18.07.2014 Serie 8: Microcontroller 17./18.07.2014 I. Ziel der Versuche Erster Erfahrungen mit einem Microcontroller sollen gesammelt werden, die grundlegenden Ein- Ausgabe-Operationen werden realisiert. II. Vorkenntnisse

Mehr

Stefan Hoffmann. Leichter Start mit BASCOM und myavr

Stefan Hoffmann. Leichter Start mit BASCOM und myavr Leichter Start mit BASCOM und myavr Entwicklungsumgebung für AVR Mikrocontroller Seite: 3/15 1 Entwicklungsumgebung für AVR Mikrocontroller Für die Arbeit mit BASCOM und myavr-produkten benötigt man einen

Mehr

Highspeed- Controller-Board

Highspeed- Controller-Board erkennt man nichts Ungewöhnliches für ein 8051-Board. Es ist zwar ein EPROM vorhanden, für viele Anwendungen reicht aber der 16 Kilobyte große Flashspeicher auf dem Controller-Chip. Es spricht nichts dagegen,

Mehr

Fernseh-Simulator Microcontroller ATMEL 89C4051 8 Bit Betriebsspannungsbereich von 9-35 Volt 8 leistungsfähigen Ausgänge 16 Programmspeicherplätze

Fernseh-Simulator Microcontroller ATMEL 89C4051 8 Bit Betriebsspannungsbereich von 9-35 Volt 8 leistungsfähigen Ausgänge 16 Programmspeicherplätze Fernseh-Simulator Diese Steuerung mit dem Microcontroller ATMEL 89C4051 ist für universelle Steueraufgaben bis 8 Bit ausgelegt. Der große Betriebsspannungsbereich von 9-35 Volt, die 8 leistungsfähigen

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

Mikrocontroller effektiv in C programmieren - ein noch unbekanntes Land

Mikrocontroller effektiv in C programmieren - ein noch unbekanntes Land Mikrocontroller effektiv in C programmieren- ein noch unbekanntes Land Mikrocontroller effektiv in C programmieren - ein noch unbekanntes Land HS Pforzheim Fakultät Technik Mikrocontroller-Labor Tiefenbronner

Mehr

Kurzbeschreibung der Hardware, das Schreiben von Software, Testen und Simulation mittels ASM51 und C51 sowie dscope (tscope)-51 und µprofi 51

Kurzbeschreibung der Hardware, das Schreiben von Software, Testen und Simulation mittels ASM51 und C51 sowie dscope (tscope)-51 und µprofi 51 µc 8051 Lernhilfe (Riemer) Seite 1 Mikrocontroller 8051, Kurzbeschreibung der Hardware, das Schreiben von Software, Testen und Simulation mittels ASM51 und C51 sowie dscope (tscope)-51 und µprofi 51 Eine

Mehr

Einführung in die Informationstechnik. Eingabe - Verarbeitung - Ausgabe CIUS - KREISLICHT. Realschule Tamm Technik Axel Steinbach

Einführung in die Informationstechnik. Eingabe - Verarbeitung - Ausgabe CIUS - KREISLICHT. Realschule Tamm Technik Axel Steinbach Einführung in die Informationstechnik Eingabe - Verarbeitung - Ausgabe CIUS - KREISLICHT Realschule Tamm Technik Axel Steinbach CIUS Kreislicht Netzgerät 1 V Interface 1 Resetknopf 4 Monitor Computer...

Mehr

Tag 2 Eingabe und Interrupts

Tag 2 Eingabe und Interrupts Tag 2 Eingabe und Interrupts 08/30/10 Fachbereich Physik Institut für Kernphysik Bastian Löher, Martin Konrad 1 Taster Direkt an Portpin angeschlossen (etwa PINB0, PIND3) Pull-Up-Widerstände einschalten!

Mehr

Elektronik II 2. Groÿe Übung

Elektronik II 2. Groÿe Übung G. Kemnitz Institut für Informatik, Technische Universität Clausthal 4. Mai 2015 1/31 Elektronik II 2. Groÿe Übung G. Kemnitz Institut für Informatik, Technische Universität Clausthal 4. Mai 2015 1. Brückengleichrichter

Mehr

Maschinenorientierte Programmierung

Maschinenorientierte Programmierung Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 2 Termin 10, 08.12.2015 Maschinenorientierte Programmierung Seite 2 Timer- und Counter-Programmierung Maschinenorientierte

Mehr

DST EINFÜHRUNG IN MRT (V2)

DST EINFÜHRUNG IN MRT (V2) DST EINFÜHRUNG IN MRT (V2) Aufgabe: Reaktionstester 1. Pflichtenheft Taster an -PA0 8 LEDs an PCx LCD-Anzeige für Ergebnis Die LEDs an Port C sollten unerwartet irgendwann angehen! Jetzt wird die Zeit

Mehr

Entwurf von Algorithmen - Kontrollstrukturen

Entwurf von Algorithmen - Kontrollstrukturen Entwurf von Algorithmen - Kontrollstrukturen Eine wichtige Phase in der Entwicklung von Computerprogrammen ist der Entwurf von Algorithmen. Dieser Arbeitsschritt vor dem Schreiben des Programmes in einer

Mehr

Was unterscheidet Microcontroller von einem PC ( Laptop ) oder einem Handy

Was unterscheidet Microcontroller von einem PC ( Laptop ) oder einem Handy uc_kurs_mts_hl_1 Seite 1 S1 Was unterscheidet Microcontroller von einem PC ( Laptop ) oder einem Handy An einen uc kann man eine Menge Sensoren und Aktuatoren anschließen. Mit den Sensoren kann der uc

Mehr

Prinzipieller Grundaufbau eines einfachen C-Programmes

Prinzipieller Grundaufbau eines einfachen C-Programmes Prinzipieller Grundaufbau eines einfachen C-Programmes C unterscheidet zwischen Groß- und Kleinschreibung! Siehe zu den folgenden Erklärungen auch das Programm am Ende der nächsten Seite. Am Anfang aller

Mehr

einfache PIC-Übungsprogramme

einfache PIC-Übungsprogramme einfache PIC-Übungsprogramme Schreibe in MPLAB für das PIC-Übungsboard 01 mit dem PIC16F88 folgendes Programm, assembliere und dokumentiere dieses, schreibe es anschließend mittels dem Programmiergerät

Mehr

Software mit Tests & Beispielen

Software mit Tests & Beispielen Prüfverfahren Anleitung INHALT Allgemeine Angaben 1. DAS GERÄT... 3 2. DAS GERÄT TESTEN... 4 3. DIE KOMMUNIKATION MIT DEM PC TESTEN... 6 Software mit Tests & Beispielen 1. DIE TESTPROGRAMME HERUNTERLADEN...

Mehr

Der 2,4GHz Sender des G15. (Modell GT-K-6X)

Der 2,4GHz Sender des G15. (Modell GT-K-6X) Der 2,4GHz Sender des G15 (Modell GT-K-6X) Installationshinweise für den Empfänger Bei unseren RTF Modellen ist der Empfänger bereits an der optimalen Stelle verbaut. Sollten Sie ein altes Modell aufrüsten,

Mehr

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet.

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet. U4 4. Übung U4 4. Übung Besprechung Aufgabe 2 Makros Register I/O-Ports U4.1 U4-1 Makros U4-1 Makros Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler

Mehr

Parallel-IO. Ports am ATmega128

Parallel-IO. Ports am ATmega128 Parallel-IO Ansteuerung Miniprojekt Lauflicht Ports am ATmega128 PortE (PE7...PE0) alternativ, z.b. USART0 (RS232) 1 Pin von PortC Port C (PC7...PC0) 1 Parallel-IO-Port "Sammelsurium" verschiedener Speicher

Mehr

Steuern des GSR-Interface mit QBasic

Steuern des GSR-Interface mit QBasic Steuern des GSR-Interface mit QBasic Erstellt von Jochen Sendler, Geschwister-Scholl-Realschule Mannheim Inhaltsverzeichnis 1. Die Programmiersprache QBasic 3 2. Starten von QBasic 3 3. Ansteuern einzelner

Mehr

von Alexander Wenk 2006, Alexander Wenk, 5079 Zeihen

von Alexander Wenk 2006, Alexander Wenk, 5079 Zeihen Digitaltechnik für Elektroniker im 2. Lehrjahr von Alexander Wenk 2006, Alexander Wenk, 5079 Zeihen Inhaltsverzeichnis Sequentielle Digitaltechnik - Flipflops 1 Laborversuch: SR-Flipflops in NOR und NAND

Mehr

Einführung in AVR-Assembler

Einführung in AVR-Assembler Einführung in AVR-Assembler Easterhack 2008 Chaos Computer Club Cologne Stefan Schürmans, BlinkenArea stefan@blinkenarea.org Version 1.0.4 Easterhack 2008 Einführung in AVR-Assembler 1 Inhalt Vorstellung

Mehr

Übungen zur Vorlesung Verteilte Algorithmen II, Heiko Krumm, Universität Dortmund, FB Informatik

Übungen zur Vorlesung Verteilte Algorithmen II, Heiko Krumm, Universität Dortmund, FB Informatik Übung 4: Gegenseitiger Ausschluss (Ricart-Agrawala 1981) Konstanten: n >1 Anzahl der Prozesse; r Adresse des Prozesses, der initial das Token besitzt. Prozessparameter i sei die Adresse der aktuellen Prozessinstanz,

Mehr

Aufgabe I: Im einzelnen soll das Hauptprogramm:

Aufgabe I: Im einzelnen soll das Hauptprogramm: Aufgabe I: Gesucht ist ein Programm, das zwei Lauflichter realisiert. Das erste werde in der Siebensegment-Anzeige durch genau ein aktiviertes mittleres Segment g dargestellt, das von rechts nach links

Mehr

Controls Division. Montage. Montage. Anschlüsse Hauptstromkreis. Anschlüsse Steuerstromkreis

Controls Division. Montage. Montage. Anschlüsse Hauptstromkreis. Anschlüsse Steuerstromkreis Datenblatt Bus AWD1 Einphasiger Energiezähler mit integrierter S-Bus Schnittstelle Controls Division Energiezähler mit einer integrierten S-Bus Schnittstelle ermöglichen das Auslesen aller relevanten Daten

Mehr

Vorl. 6: Single- und Multitasking

Vorl. 6: Single- und Multitasking Universität Bielefeld Technische Fakultät AG Rechnernetze und verteilte Systeme Vorl. 6: Single- und Multitasking Peter B. Ladkin Single Tasking Command Interpreter (ComInt) läuft wartet auf Tastatur-Eingabe

Mehr

TIn 1: Feedback Laboratories. Lecture 4 Data transfer. Question: What is the IP? Institut für Embedded Systems. Institut für Embedded Systems

TIn 1: Feedback Laboratories. Lecture 4 Data transfer. Question: What is the IP? Institut für Embedded Systems. Institut für Embedded Systems Mitglied der Zürcher Fachhochschule TIn 1: Lecture 4 Data transfer Feedback Laboratories Question: What is the IP? Why do we NEED an IP? Lecture 3: Lernziele Moving data, the why s and wherefores Moving

Mehr

DMX Kanalbelegung. ab Software Version 1.01/03/02 (Anleitung Version 1.2) e-mail: service@glp.de Internet: http://www.glp.de

DMX Kanalbelegung. ab Software Version 1.01/03/02 (Anleitung Version 1.2) e-mail: service@glp.de Internet: http://www.glp.de DMX Kanalbelegung ab Software Version 1.01/03/02 (Anleitung Version 1.2) e-mail: service@glp.de Internet: http://www.glp.de Normal-Mode 14 DMX Kanäle 300 XL RZ RGB DMX Kanalbelegung (Übersichtstabelle)

Mehr

Die Photodiode (PD) ist ein optoelektronisches Bauteil, welches benutzt wird um Licht in ein elektrisches Signal umzuwandeln.

Die Photodiode (PD) ist ein optoelektronisches Bauteil, welches benutzt wird um Licht in ein elektrisches Signal umzuwandeln. Versuch 1: Die Photodiode Die Photodiode (PD) ist ein optoelektronisches Bauteil, welches benutzt wird um Licht in ein elektrisches Signal umzuwandeln. Es werden 3 verschiedene Betriebsarten von PDs unterschieden:

Mehr

STM32F429-Basic Version : C.01

STM32F429-Basic Version : C.01 =========== Projekt : STM32F429-Basic Version : C.01 Datum : 02.11.2014 EMail : mc-4u(@)t-online.de Web : www.mikrocontroller-4u.de =========== Inhalt Seite 2 = Syntaxhinweise / Konventionen Seite 3 =

Mehr

Konzepte von Programmiersprachen WS 03/04. LÖSUNG ZU BLATT 3 (Seite 1 / 6)

Konzepte von Programmiersprachen WS 03/04. LÖSUNG ZU BLATT 3 (Seite 1 / 6) (Seite 1 / 6) ufgabe 1 [6] ootstrapping Die Diagramme sind in bbildung 1 gezeigt. ufgabe 2 [6] Eines für alle? Imperativ etriebssysteme: Sie verwalten einen Zustand, der an sich schon maschinenorientiert

Mehr

leave: mov flag, 0 ; 0 in flag speichern: Lock freigeben ret

leave: mov flag, 0 ; 0 in flag speichern: Lock freigeben ret Sep 19 14:20:18 amd64 sshd[20494]: Accepted rsa for esser from ::ffff:87.234.201.207 port 61557 Sep 19 14:27:41 amd64 syslog-ng[7653]: STATS: dropped 0 Sep 20 01:00:01 amd64 /usr/sbin/cron[29278]: (root)

Mehr

Heimautomation unter Linux mit pvbrowser und C-CONTROL Station

Heimautomation unter Linux mit pvbrowser und C-CONTROL Station Heimautomation unter Linux mit pvbrowser und C-CONTROL Station Einleitung pvbrowser ist eine Prozessvisualisierung, die Open Source (GPL Lizenz) ist und unter http://pvbrowser.org heruntergeladen werden

Mehr

MAXIMUM2.STR 02.10.2002. Struktogramme. Aufgabe: 3 Zahlen eingeben, größte Zahl ermitteln und ausgeben.

MAXIMUM2.STR 02.10.2002. Struktogramme. Aufgabe: 3 Zahlen eingeben, größte Zahl ermitteln und ausgeben. Struktogramme 02.10.2002 Aufgabe: 3 Zahlen eingeben, größte Zahl ermitteln und ausgeben. MAX_DOZ1 Integer a, b, c, max M AX IM U M 1.S T R Inte g er a, b, c Ausgabe "Zahlen eingeben" E ing abe a, b, c

Mehr

Einführung in das Programmieren in der Sekundarstufe 1. mit einem Arduino und der Physical-Computing-Plattform

Einführung in das Programmieren in der Sekundarstufe 1. mit einem Arduino und der Physical-Computing-Plattform Einführung in das Programmieren in der Sekundarstufe 1 mit einem Arduino und der Physical-Computing-Plattform 1 Arduino mit Breadboard (Steckbrett) und LED mit Vorwiderstand Arduino-Board Uno R3 mit Mikrocontroller

Mehr

Erste Schritte. Das Arduino-Board. Ihr Fundino-Board. Programmieren für Ingenieure Sommer 2015. Andreas Zeller, Universität des Saarlandes

Erste Schritte. Das Arduino-Board. Ihr Fundino-Board. Programmieren für Ingenieure Sommer 2015. Andreas Zeller, Universität des Saarlandes Erste Schritte Programmieren für Ingenieure Sommer 2015 Andreas Zeller, Universität des Saarlandes Das Arduino-Board Ihr Fundino-Board Anschluss über USB Anschluss über USB Programmierumgebung Download

Mehr

Projekt Nr. 15: Einen elektronischen Würfel erstellen

Projekt Nr. 15: Einen elektronischen Würfel erstellen Nun wissen Sie, wie Sie Zufallszahlen erzeugen können. Als Nächstes wollen wir diese neuen Kenntnisse gleich in die Tat umsetzen, indem wir einen elektronischen Würfel konstruieren. Projekt Nr. 15: Einen

Mehr

Grundlagen der Informatik III Wintersemester 2010/2011

Grundlagen der Informatik III Wintersemester 2010/2011 Grundlagen der Informatik III Wintersemester 2010/2011 Wolfgang Heenes, Patrik Schmittat 2. Aufgabenblatt mit Lösungsvorschlag 08.11.2010 Hinweis: Der Schnelltest und die Aufgaben sollen in den Übungsgruppen

Mehr

3.0 8051 Assembler und Hochsprachen

3.0 8051 Assembler und Hochsprachen 3.0 8051 Assembler und Hochsprachen Eine kurze Übersicht zum Ablauf einer Programmierung eines 8051 Mikrocontrollers. 3.1 Der 8051 Maschinencode Grundsätzlich akzeptiert ein 8051 Mikrocontroller als Befehle

Mehr

Universität Paderborn Fakultät für Naturwissenschaften - Physikalisches Praktikum Versuche mit Microcontroller-System

Universität Paderborn Fakultät für Naturwissenschaften - Physikalisches Praktikum Versuche mit Microcontroller-System Kurzanleitung: Universität Paderborn Fakultät für Naturwissenschaften - Physikalisches Praktikum BASIC-Programme für das C-Control Prozessormodul Jedes Programm besteht aus einem Vereinbarungsteil und

Mehr

Der Einsatz eines Arduino- Mikrocontrollers im Schülerpraktikum

Der Einsatz eines Arduino- Mikrocontrollers im Schülerpraktikum Der Einsatz eines Arduino- Mikrocontrollers im Schülerpraktikum Hard- und Software, Versuche und Erfahrungen Bert Schöneich DESY Zeuthen, 2016 Gliederung 1. Wie alles begann und warum Arduino documenta

Mehr

P.1 Praktikum zur Vorlesung HSMT Teil: Mikrorechnertechnik

P.1 Praktikum zur Vorlesung HSMT Teil: Mikrorechnertechnik Praktikum HSMT MRT-Teil Fachhochschule Aachen Eupener Straße 70, 52066 Aachen Telefon 0241-6009-0 Durchwahl 0241-6009-52128(29) Eu 0241-6009-52302 Go Telefax 0241-6009-52191 e-mail zielinski@fh-aachen.de

Mehr

PC-Elektronik Labor FRANZIS NEU! inkl.* NI Multisim. Praxisnahes Lernen mit dem PC als Simulationssystem PC & ELEKTRONIK.

PC-Elektronik Labor FRANZIS NEU! inkl.* NI Multisim. Praxisnahes Lernen mit dem PC als Simulationssystem PC & ELEKTRONIK. FRANZIS PC & ELEKTRONIK Herbert Bernstein NEU! Jetzt zusätzlich mit Mikrocontroller und Platinenlayout Das ganze Wissen der Elektonik auf über 1.400 Seiten 6. überarbeitete Nachauflage PC-Elektronik Labor

Mehr

Dr. Monika Meiler. Inhalt

Dr. Monika Meiler. Inhalt Inhalt 4 Einführung in die Programmiersprache Java (Teil II)... 4-2 4.4 Strukturierte Programmierung... 4-2 4.4.1 Strukturierung im Kleinen... 4-2 4.4.2 Addierer (do-schleife)... 4-3 4.4.3 Ein- Mal- Eins

Mehr

11.0 Aufbau für die Steuerung des Elliptecmotors

11.0 Aufbau für die Steuerung des Elliptecmotors 11.0 Aufbau für die Steuerung des Elliptecmotors Die Möglichkeiten der ISP Programmierung der Ghost Entwicklungsplatine und Steuerung über die serielle Schnittstelle vereinfachen die Versuche mit der Steuerung

Mehr

bluelino 4G/ 4G+ Konfigurationssoftware

bluelino 4G/ 4G+ Konfigurationssoftware LinTech Bedienungsanleitung bluelino 4G/ 4G+ Konfigurationssoftware Revision 1.42 Inhalt 1 Konfiguration des bluelino 4G oder 4G+ ändern... 3 1.1 Voraussetzungen... 3 1.2 Start/Inbetriebnahme Konfigurationssoftware...

Mehr

SSA P_51_002_NEU. doc

SSA P_51_002_NEU. doc SSA P_51_002_NEU. doc Lehrfach: Mikrorechentechnik Versuch: Sieben-Segment-Anzeige Oc Hochschule Zittau/Görlitz; Fachbereich Elektro- und Informationstechnik Prof. Dr.-Ing. Kühne April 2005 Bearb.:Dipl.-Ing.

Mehr

Informatik für Schüler, Foliensatz 12 Pseudo-Zufallszahlen

Informatik für Schüler, Foliensatz 12 Pseudo-Zufallszahlen rof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal 14. April 2010 1/14 Informatik für Schüler, Foliensatz 12 Pseudo-Zufallszahlen Prof. G. Kemnitz Institut für Informatik, Technische

Mehr

Hard- und Software-Engineering SS 2006 Praktikumsaufgaben

Hard- und Software-Engineering SS 2006 Praktikumsaufgaben HARD- UND SOFTWARE-ENGINEERING PRAKTIKUMSAUFGABEN SS 2006 1 Versuch 1 Elementare Aufgaben der Bedienung und Anzeige Versuchsanordnung: Hard- und Software-Engineering SS 2006 Praktikumsaufgaben PC mit Puffer/Portadapter-Kombination

Mehr

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565 Stand 10.2.2009 Displayabmessungen -6X9: 56x43mm (Abbildung) -6X8: 34x25mm TECHNISCHE DATEN * INKL. KONTROLLER ST7565 * VDD = 2,7... 3,3V * LOW POWER, ALSO IDEAL FÜR

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

MB-Diplom (4. Sem.) / MB-Bachelor (Schwerpunkt Mechatronik, 5. Sem.) Seite 1 von 8. Wintersemester 2014/15 Elektronik

MB-Diplom (4. Sem.) / MB-Bachelor (Schwerpunkt Mechatronik, 5. Sem.) Seite 1 von 8. Wintersemester 2014/15 Elektronik MB-Diplom (4. Sem.) / MB-Bachelor (Schwerpunkt Mechatronik, 5. Sem.) Seite 1 von 8 Hochschule München Fakultät 03 Zugelassene Hilfsmittel: alle eigenen, Taschenrechner Matr.-Nr.: Hörsaal: Wintersemester

Mehr

AVR-Mikrocontroller in BASCOM programmieren, Teil 3

AVR-Mikrocontroller in BASCOM programmieren, Teil 3 jean-claude.feltes@education.lu 1/8 AVR-Mikrocontroller in BASCOM programmieren, Teil 3 Alle Beispiele in diesem Kapitel beziehen sich auf den Mega8. Andere Controller können unterschiedliche Timer haben.

Mehr

MC 15.04.2015. Mikrocomputertechnik (MCT) Bitte nehmen Sie sich ein Exemplar der bereitliegenden Kopien

MC 15.04.2015. Mikrocomputertechnik (MCT) Bitte nehmen Sie sich ein Exemplar der bereitliegenden Kopien MC 15.04.2015 Mikrocomputertechnik (MCT) Bitte nehmen Sie sich ein Exemplar der bereitliegenden Kopien 1 / 32 MCT_Vorlesung_01_SS2015 MC 15.04.2015 Lehrkräfte: Mikrocomputertechnik (MCT) 1.) Prof. Dr.-Ing.

Mehr

0 C (Carry) Überlauf des 8ten Bits. 1 DC (Digit Carry) Überlauf des 4ten Bits. Mnemonic Parameter Beschreibung Status-Flags.

0 C (Carry) Überlauf des 8ten Bits. 1 DC (Digit Carry) Überlauf des 4ten Bits. Mnemonic Parameter Beschreibung Status-Flags. 3. Assembler-Programmierung Der PIC 16F84A Microcontroller kennt 35 verschiedene Befehle. Für eine ausführliche Beschreibung aller Befehle siehe PIC16F84A-Datenblatt Kapitel 7.1. 3.1 Wichtige Flaggen im

Mehr

1. Erstellen Sie eine Excel-Tabelle, in der auf drei Arten der Sättigungsdruck von Wasser jeweils nach der folgenden Gleichung berechnet wird

1. Erstellen Sie eine Excel-Tabelle, in der auf drei Arten der Sättigungsdruck von Wasser jeweils nach der folgenden Gleichung berechnet wird 1/10 Vorlesung und Übung Informatik Übungsaufgaben Stand: 21.10.2010 1. Erstellen Sie eine Excel-Tabelle, in der auf drei Arten der Sättigungsdruck von Wasser jeweils nach der folgenden Gleichung berechnet

Mehr

GCM 100 GRAF-SYTECO H019A00. SYsteme TEchnischer COmmunication anzeigen, bedienen, melden, steuern, visualisieren und zählen

GCM 100 GRAF-SYTECO H019A00. SYsteme TEchnischer COmmunication anzeigen, bedienen, melden, steuern, visualisieren und zählen GRAF-SYTECO Kaiserstr. 18 * D-78609 Tuningen * Tel.07464-98660- Fax.2550 SYsteme TEchnischer COmmunication anzeigen, bedienen, melden, steuern, visualisieren und zählen GCM 100 H019A00 Ausgabe 15.7.96

Mehr

2 Programmierung der Steuerung mit dem On-Board Konfiguartionstool

2 Programmierung der Steuerung mit dem On-Board Konfiguartionstool T-1673 d Inbetriebnahme Geltungsbereich Erstellt 27. August 2013 TORMAX 2101 Sliding Door Drive TORMAX CH-8180 Bülach www.tormax.com info@tormax.com Adressat Inbetriebnahme, Unterhalt 1 Voraussetzung 1.

Mehr

PRODUKTHANDBUCH PROGRAMMIERTRANSPONDER 3067. Stand: Entwurf 2012 v02 basieren auf April 2007_V05.04.2007

PRODUKTHANDBUCH PROGRAMMIERTRANSPONDER 3067. Stand: Entwurf 2012 v02 basieren auf April 2007_V05.04.2007 Stand: Entwurf 2012 v02 basieren auf April 2007_V05.04.2007 Stand: Mai 2012 2 Inhaltsverzeichnis 1 SICHERHEITSHINWEISE...3 2 EINLEITUNG...3 3 SICHERUNGSKARTE...4 4 PROGRAMMIERHINWEISE...4 4.1 Erstprogrammierung...4

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Lesegerät am PC verwenden

Lesegerät am PC verwenden Lesegerät am PC verwenden Bedienungsanleitung Gültig für die Lesegeräte Plus Extra und den Minireader 1 Hinweis: Die verwendeten Bilder sind schematisch. Die Anleitung wurde exemplarisch unter Windows

Mehr

Adressen. Praktikum Funktionale Programmierung Organisation und Überblick. Termine. Studienleistung

Adressen. Praktikum Funktionale Programmierung Organisation und Überblick. Termine. Studienleistung Adressen Adressen, Termine Studienleistung Praktikum Funktionale Programmierung Organisation und Überblick Dr. David Sabel Büro und Email Raum 216, Robert-Mayer-Str. 11-15 sabel@ki.informatik.uni-frankfurt.de

Mehr

Zahlendarstellung Logikfunktionen Register Eingänge Infrarot senden TSOP-Effekte Weiterführendes U23 2008. Abend 3:

Zahlendarstellung Logikfunktionen Register Eingänge Infrarot senden TSOP-Effekte Weiterführendes U23 2008. Abend 3: #2 Abend 3: Alexander Neumann e.v. http://koeln.ccc.de Köln, 3.11.2008 Gliederung 1 Zahlendarstellung n-adische Darstellung natürlicher Zahlen negative Zahlen 2 Logikfunktionen 3 Register

Mehr

Produktinformationen. Basis Set Atmel Atmega8 & ISP Programmer. Lieferumfang :

Produktinformationen. Basis Set Atmel Atmega8 & ISP Programmer. Lieferumfang : Produktinformationen Basis Set Atmel Atmega8 & ISP Programmer Lieferumfang : 1 Steckboard 840 Pin 1 USB ISP Programmer mit Kabel 1 Kabelsatz 32-teilig 1 Atmega8L 5 LED 3mm Klar Rot/Gelb/Grün/Blau/Weiss

Mehr

Aktiver Heatpipe Kühler

Aktiver Heatpipe Kühler Aktiver Heatpipe Kühler für 775/1156/1155/1151 Prozessoren Logistical Data 21510121027 21910121009 450 420 g ref. Push-Pins Befestigung für 775 und 1156/1155/1151 3 Heatpipes Hochleistungs-Wärmeleitpaste

Mehr

VBA Grundlagen. VBA Grundlagen - Steuerelemente. VBA Grundlagen - Variable. VBA Grundlagen Namensbildung, Kommentar

VBA Grundlagen. VBA Grundlagen - Steuerelemente. VBA Grundlagen - Variable. VBA Grundlagen Namensbildung, Kommentar VBA Grundlagen Basic = Programmiersprache (Beginners All Purpose Symbolic Instruction Code). Wurde 1964 als einfache Programmiersprache entwickelt. Bestandteil vom Anfang des MS-DOS (1981) an als BASICA,

Mehr

3.Transistor. 1 Bipolartransistor. Christoph Mahnke 27.4.2006. 1.1 Dimensionierung

3.Transistor. 1 Bipolartransistor. Christoph Mahnke 27.4.2006. 1.1 Dimensionierung 1 Bipolartransistor. 1.1 Dimensionierung 3.Transistor Christoph Mahnke 7.4.006 Für den Transistor (Nr.4) stand ein Kennlinienfeld zu Verfügung, auf dem ein Arbeitspunkt gewählt werden sollte. Abbildung

Mehr

Optisch isoliertes Mess- und Auswertesystem

Optisch isoliertes Mess- und Auswertesystem Impulsgrößen potentialfrei messen: Optisch isoliertes Mess- und Auswertesystem Die genaue Messung schnell veränderlicher Spannungen und Ströme bereitet in vielen Bereichen der Technik, wie z.b. in der

Mehr

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10. Lehrprozessor: Coldfire MCF-5272 Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.45 Uhr Raum: Aula Bearbeitungszeit: 180 Minuten Erlaubte Hilfsmittel: Gedrucktes Vorlesungsskript von Prof. Neuschwander mit

Mehr

Fehlerkorrektur Bild 3.190 Demoprozessor

Fehlerkorrektur Bild 3.190 Demoprozessor 7 Prozessor 3 0 Flags C V N Z A IP 0 SP AB 8 MS W/R DB 4 00h..6Fh Daten Speicher 70h..70h PA 71h..71h PB 72h..73h PC 74h..76h PD 80h..FFh Programm Speicher Fehlerkorrektur Bild 3.190 Demoprozessor Die

Mehr

Technische Informatik 2: Addressierung und Befehle

Technische Informatik 2: Addressierung und Befehle Technische Informatik 2: Addressierung und Befehle Memory Map Programm Speicher: Adresse $000-$FFF max. 4096 Byte für kompiliertes Programm Data Memory: Adresse $0000-$FFFF 32 8Bit Register 64 I/O Register

Mehr

Adressbeispiele Binär Schalterbild

Adressbeispiele Binär Schalterbild Datenblatt Features Controller kann DMX 512 empfangen oder senden Bis zu 360W Schaltleistung (3x 5A bei 24V) 3 PWM Kanäle Onboard, 7-24V DMX 512 zu WS2801 fähig Bequem programmierbar über Fernbedienung

Mehr

Komponente PortUSB für Delphi 5.0

Komponente PortUSB für Delphi 5.0 Komponente PortUSB für Delphi 5.0 Funktion nur mit der P8055-1 Karte von der Firma Velleman. PortUSB Handbuch Seite 1 von 1 INHALTSVERZEICHNIS Komponente PortUSB für Delphi 5.0... 1 Komponente PortUSB...

Mehr

Autonome Mobile Systeme. Dr. Stefan Enderle

Autonome Mobile Systeme. Dr. Stefan Enderle Autonome Mobile Systeme Dr. Stefan Enderle 2. Mikrocontroller Einleitung Unterschied Controller / Prozessor: Speicher (RAM, Flash, Eprom) intern Viele I/Os (Digital, Analog) Bus-Unterstützung (Seriell,

Mehr

SAnD-4. SW-Beschreibung (Stand V3.35) Technische Dokumentation Entwicklungsgrundlage

SAnD-4. SW-Beschreibung (Stand V3.35) Technische Dokumentation Entwicklungsgrundlage SAnD-4 SW-Beschreibung (Stand V3.35) Technische Dokumentation Entwicklungsgrundlage Dies ist keine Aufbau-Anleitung oder Bedienungs-Anleitung. Die hier beschriebenen Informationen sind für den Aufbau und

Mehr

Ergänzungen zum Manual OS V 2.05/2.06

Ergänzungen zum Manual OS V 2.05/2.06 Ergänzungen zum Manual OS V 2.05/2.06 SYSTEMRESOURCEN - PROGRAMM DOWNLOAD - Ab der Betriebssystemversion 2.05 haben die C-Control Units M-2.0 und Station 2.0 die Möglichkeit das Anwenderprogramm von einem

Mehr

Wer möchte, kann sein Programm auch auf echter Hardware testen

Wer möchte, kann sein Programm auch auf echter Hardware testen U4 3. Übungsaufgabe U4 3. Übungsaufgabe Grundlegendes zur Übung mit dem AVR-μC Register I/O-Ports AVR-Umgebung Peripherie U4.1 U4-1 Grundlegendes zur Übung mit dem AVR-mC U4-1 Grundlegendes zur Übung mit

Mehr

MODUL 6 TIMER UND COUNTER

MODUL 6 TIMER UND COUNTER µc Anwendungsprogrammierung in C Modul 6 / Seite 1 MODUL 6 TIMER UND COUNTER V1.1 J. Humer 1997 µc Anwendungsprogrammierung in C Modul 6 / Seite 2 INHALTSVERZEICHNIS MODUL 6 TIMER UND COUNTER Inhalt Seite

Mehr

Ihren persönlichen Anrufbeantworter

Ihren persönlichen Anrufbeantworter Anleitung für Ihren persönlichen Anrufbeantworter 1.1 Zugang zum persönlichen Anrufbeantworter... 1 1.2 Der persönliche Anrufbeantworter ein- und ausschalten... 2 1.3 Nachrichten vom persönlichen Anrufbeantworter

Mehr

x86 Assembler Praktische Einführung Sebastian Lackner Michael Müller 3. Juni 2013

x86 Assembler Praktische Einführung Sebastian Lackner Michael Müller 3. Juni 2013 x86 Assembler Praktische Einführung Sebastian Lackner Michael Müller 3. Juni 2013 1 / 53 Inhaltsverzeichnis 1 Einführung 2 Assembler Syntax, Register und Flags 3 Hauptspeicher 4 Stack 5 Assemblerbefehle

Mehr

HomeServer/ FacilityServer Datum Uhrzeit setzen in der Visualisierung Einleitung:

HomeServer/ FacilityServer Datum Uhrzeit setzen in der Visualisierung Einleitung: Datum Uhrzeit setzen (Neu!!! Dezember 200!!!) HomeServer/ FacilityServer Datum Uhrzeit setzen in der Visualisierung Einleitung: Eine Vielzahl von Funktionen können mit dem HomeServer zeitlich gesteuert

Mehr

Praktikumsversuch FT

Praktikumsversuch FT FT.1 Verbundlabor Mechatronik Labor für Elektronik und elektrische Antriebe Praktikumsversuch FT Schaltungen mit Feldeffekttransistoren FT.2 1 Einleitung 1.1 Aufbau und Funktion von MOSFETs Feldeffekttransistoren,

Mehr

Wozu dient ein Logikanalysator?

Wozu dient ein Logikanalysator? Wozu dient ein Logikanalysator? Beispiel: Microcontroller Microcontroller kommen vor in Haushaltsgeräten (Waschmaschine,...) in Fahrzeugen (ABS, Motorsteuerung, Radio,...) in Computern (Tastatur, Festplatte,

Mehr

Programmierung Weichenmodul S/D Tafel 1

Programmierung Weichenmodul S/D Tafel 1 Programmierung Weichenmodul S/D Tafel 1 Voraussetzungen: Fahrspannung ist abgeschalten (rote Taste an MC 2004 oder Einfrieren im Traincontroller) Programmiertaste am Modul ist gedrückt (rote LED leuchtet),

Mehr

Anwendung und Programmierung von Mikrocontrollern. Anwendung und Programmierung von Mikrocontrollern

Anwendung und Programmierung von Mikrocontrollern. Anwendung und Programmierung von Mikrocontrollern Anwendung und Programmierung von Mikrocontrollern Sommersemester 2013 Dr.-Ing. Hubert Zitt Kontakt: hubert.zitt@fh-kl.de www.fh-kl.de/~zitt Dr.-Ing. Hubert Zitt Seite 1 von 24 S65 Shield (von oben) Komponenten

Mehr

Anleitung Installation und Kurzanleitung Tachostore/D-Box

Anleitung Installation und Kurzanleitung Tachostore/D-Box Anleitung Installation und Kurzanleitung Tachostore/D-Box Inhalt 1 Installation Tachostore...2 2 Beseitigung der Installationsprobleme...2 3 Registrierung bei Micropross...3 4 D-Box USB Treiber Installation...3

Mehr