Hardwarepraktikum WS 2001/02

Größe: px
Ab Seite anzeigen:

Download "Hardwarepraktikum WS 2001/02"

Transkript

1 Hardwarepraktikum W / Versuch equentielle ysteme III Gruppe 68: enise Baldauf, 474 Thomas Winter, 4778 Michael Grieswald, 496 hemnitz, den..

2 Aufgabenstellungen zur Vorbereitung Aufgabe >> Beschreiben ie den asynchronen Frequenzteiler aus Bild 8 (kript) in VHL und weisen ie die Funktionstüchtigkeit durch VHL-imulation nach. chaltplan und Automatenentwurf siehe kript VHL-Beschreibung des asynchronen Frequenzteilers: entity aufgabe is -- 5: Frequenzteiler asynchron port (rn, fi: in bit; fo: : out bit); -- reset und eingehende Frequenz -- ausgehende Frequenz end aufgabe; architecture structure of aufgabe is component N Flip-Flop port (clock, s_bar, r_bar, j, k: in bit; -- Takt, /set, /reset, j, k q, q_bar : out bit); -- q, /q end component; for all: N747 use entity work.n747(dataflow); signal one: bit:= ; signal q_barff, qff, q_barff: bit; -- interne ignale (FF-Ausgaenge) begin ff: N747 port map (clock=> fi, s_bar=> one, r_bar=> rn, j=> q_barff, k=> one, q_bar=> q_barff); ff: N747 port map (clock=> q_barff), s_bar=> one, r_bar=> rn, j=> one, k=> one, q=> qff); ff: N747 port map (clock=> fi, s_bar=> one, r_bar=> rn, j=> qff, k=> one, q_bar=> q_barff); fo<= qff; end structure;

3 Voraussetzung für Aufgaben und : VHL-Beschreibung des N747: entity N747 is -- -Flip-Flop port (clock, s_bar, r_bar, j,k: in bit; -- Takt, /set, /reset, j, k q, q_bar : out bit); -- q, /q end N747; architecture dataflow of N747 is signal s: bit_vector ( to 8); -- interner ignalvektor (Ausgaenge der logischen Glieder) begin end dataflow; s()<= not (s(8) and j and clock) after ns; s()<= not (clock and k and s(7)) after ns; s()<= not clock after ns; s()<= not (s_bar and s() and s(4)) after ns; s(4)<= not (s() and s() and r_bar) after ns; s(5)<= s() nand s() after ns; s(6)<= s() nand s(4) after ns; s(7)<= not (s_bar and s(5) and s(8)) after ns; s(8)<= not (s(7) and s(6) and r_bar) after ns; q<= s(7) after ns; q_bar<= s(8) after ns;

4 Aufgabe >> Entwerfen ie einen synchronen Frequenzteiler 5:, beschreiben ie ihn in VHL und weisen ie die Funktionstüchtigkeit durch VHL-imulation nach. Vergleichen ie die imulationsergebnisse mit denen aus Aufgabe. Aus der vorgegebenen (Gesamt-)Automatentabelle für den Frequenzteiler ergeben sich (durch Benutzung des arnaugh-plans für die Eingänge und ) folgende Beschaltungen für die i und i (i=..) der Flip-Flops: = = = = = = ie chaltung ergibt sich demnach folgendermaßen: fi '' fo rn Abbildung : synchroner Frequenzteiler 5: 4

5 VHL-Beschreibung des synchronen Frequenzteilers 5: entity aufgabe is -- synchroner Frequenzteiler 5: port (rn, fi: in bit; fo : out bit); -- reset, eingehende Frequenz -- ausgehende Frequenz end aufgabe; architecture structure of aufgabe is component N Flip-Flop port (clock, s_bar, r_bar, j, k: in bit; -- Takt, /set,/ reset, j, k q, q_bar : out bit); -- q, /q end component; for all: N747 use entity work.n747(dataflow); signal one : bit:= ; signal q, q_bar : bit_vector ( to ); -- interne FF-Ausgaenge signal k : bit; -- k-eingang fuer FF begin k<= q_bar() and q_bar() after ns; ff: ff: N747 port map (clock=> fi, s_bar=> one, r_bar=> rn, j=> q_bar(), k=> one, q=> q(), q_bar=> q_bar()); N747 port map (clock=> fi, s_bar=> one, r_bar=> rn, j=> q_bar(), k=> k, q=> q(), q_bar=> q_bar()); ff : N747 port map (clock=> fi, s_bar=> one, r_bar=> rn, j=> q(), k=> one, q=> q(), q_bar=> q_bar()); fo<= q(); end structure; 5

6 Vergleich der imulationen von synchronem und asynchronem Frequenzteiler 5: Zeit in ns rn f i fo async fo sync ie Frequenzteiler verhalten sich gleich, wenn man davon absieht, dass der asynchrone Frequenzteiler eine längere Verzögerungszeit hat. 6

7 7 Aufgabe >> Entwerfen ie einen asynchronen B-Zähler, beschreiben ie ihn in VHL und weisen ie die Funktionstüchtigkeit durch VHL-imulation nach. Automatentabelle eines B-Zählers mit -Flip-Flops: Für den Entwurf des asynchronen B-Zählers ist es nötig, zu untersuchen, ob möglicherweise ein Flip-Flop (FFB) als Taktquelle eines anderem Flip-Flops (FFA) geeignet ist. azu betrachtet man das zugehörige Impulsdiagramm: Abbildung : Impulsdiagramm des B-Zählers

8 o erkennt man, dass immer, wenn die Flip-Flops FF, FF und FF schalten, FF dieselbe Taktflanke (hier: fallende Taktflanke) produziert. Nach egel ist also FF als Taktquelle für die restlichen Flip-Flops geeignet. Bei weiterer Betrachtung stellt man fest, dass wenn FF schaltet, auch FF immer eine fallende Taktflanke produziert jedoch insgesamt weniger als FF. Nach egel wählt man demnach FF als Taktgeber für FF. adurch wird weniger kombinatorische Logik benötigt. a der Automat mit steigender Taktflanke schaltet, gelten die negierten Ausgänge von FF bzw. FF als Takt für FF und FF bzw. FF. iese Taktansteuerung des asynchronen B-Zählers kann in einer Tabelle beschrieben werden: Flip-Flop FF FF FF FF Taktquelle clock FF FF FF Für FF, welches von clock getaktet wird (also synchron von außen), kann man die Eingangsbelegung aus der obigen Gesamttabelle mithilfe des arnaugh-plans ermitteln: = Für die anderen asynchronen Eingänge i (i=..) reduziert man die Automatentabelle nach den vorgegebenen egeln: treichen aus der Tabelle: - Taktgeber FF j - palten j und j - Alle Zeilen, die nicht der aktiven Taktflanke ( j =, j = ) von FF j (also der fallenden Taktflanke) entsprechen anach lassen sich mithilfe von arnaugh-plänen die kombinatorischen Funktionen zur Beschaltung der ermitteln. i Um FF reduzierte Automatentabelle für und (Takt ): = = 8

9 Um FF reduzierte Automatentabelle für (Takt ): Es ergibt sich folgende chaltung: = '' clock rn Abbildung : asynchroner B-Zähler 9

10 VHL-Beschreibung des asynchronen B-Zählers: entity aufgabe is -- synchroner B-Zaehler port (c, rn: in bit; -- Takt, reset q : out bit_vector ( downto )); -- Zaehlerstand end aufgabe ; architecture dataflow of aufgabe is component N Flip-Flop port (clock, d, s_bar, r_bar: in bit; -- Takt, d, /s, /r q, q_bar : out bit); -- q, /q end component; for all: N7474 use entity work.n7474(dataflow); signal one: bit:= ; signal q_net, q_bar_net: bit_vector ( downto ); signal d_net: bit_vector ( downto ); -- interne FF-Ausgaenge -- interne FF-Eingaenge begin d()<= q_bar_net() after ns; d()<= q_bar_net() and q_bar_net() after ns; d()<= q_bar_net() after ns; d()<= q_net() and q_net() after ns; ff: ff: ff: ff: N7474 port map (c, d(), one, rn, q_net(), q_bar_net()); N7474 port map (q_bar_net(), d(), one, rn, q_net(), q_bar_net()); N7474 port map (q_bar_net(), d(), one, rn, q_net(), q_bar_net()); N7474 port map (q_bar_net(), d(), one, rn, q_net(), q_bar_net()); q<= q_net; end dataflow;

11 Voraussetzung für Aufgaben und 4 VHL-Beschreibung des N7474: entity N7474 is -- -Flip-Flop port (c,d,s_bar,r_bar: in bit; -- Takt,d, /set, /reset q,q_bar : out bit); -- q, /q end N7474; architecture dataflow of N7474 is signal s: bit_vector ( to 5); -- interner ignalvektor (Ausgaenge der logischen Glieder) begin end dataflow; s()<=not(s() and s_bar and s()) after ns; s()<=not(d and r_bar and s()) after ns; s()<=not(r_bar and s() and c) after ns; s()<=not(s() and s() and c) after ns; s(4)<=not(s_bar and s() and s(5)) after ns; s(5)<=not(s(4) and s() and r_bar) after ns; q<=s(4) after ns; q_bar<=s(5) after ns;

12 Aufgabe 4 >> Entwerfen ie einen synchronen B-Zähler, beschreiben ie ihn in VHL und weisen ie die Funktionstüchtigkeit durch VHL-imulation nach. Vergleichen ie die imulationsergebnisse mit denen aus Aufgabe. Für den synchronen B-Zähler kann man die Beschaltung der -Eingänge mittels arnaugh-plan direkt aus der Gesamt-Automatentabelle ermitteln. (Aufgabe ). a im Versuch nur NAN-Glieder zur Verfügung stehen, ist eine Umformung nach den Morganschen Gesetzen nötig: = = = = = = = = araus ergibt sich die folgende chaltung (Ausgänge aus Übersichtsgründen nach unten verlegt): '' clock rn Abbildung 4: synchroner B-Zähler

13 VHL-Beschreibung des synchronen B-Zählers: entity aufgabe4 is -- synchroner B-Zaehler port (rn, c: in bit; -- /reset, Takt q : out bit_vector ( downto ); -- Zaehlerstand end aufgabe4; architecture dataflow of aufgabe4 is component N Flip-Flop port (c, d, s_bar, r_bar: in bit; -- Takt, d, /s, /r q, q_bar : out bit); -- q, /q end component; for all: N7474 use entity work.n7474(dataflow); signal one : bit:= ; signal q_net, q_bar_net : bit_vector ( downto ); -- interne FF-Ausgaenge signal d : bit_vector ( downto ); -- interne FF-Eingaenge signal i : bit_vector (6 downto ); -- interne ignale begin d()<= q_bar_net() after ns; i()<= not (q_net() and q_bar_net() and q_bar_net()) after ns; i()<= q_bar_net() nand q_net() after ns; d()<= i() nand i() after ns; i()<= not (q_net() and q_bar_net() and q_bar_net()) after ns; i()<= q_bar_net() nand q_net() after ns; i(4)<= q_bar_net() nand q_net() after ns; d()<= not (i() and i() and i(4) after ns; i(5)<= q_bar_net() nand q_net() after ns; i(6)<= not (q_net() and q_net() and q_net()) after ns; d()<= i(5) nand i(6); ff: ff: ff: ff: N7474 port map (c, d(), one, rn, q_net(), q_bar_net()); N7474 port map (c, d(), one, rn, q_net(), q_bar_net()); N7474 port map (c, d(), one, rn, q_net(), q_bar_net()); N7474 port map (c, d(), one, rn, q_net(), q_bar_net()); q<= q_net; end dataflow;

14 4 Vergleich der imulationen von synchronem und asynchronem B-Zähler: Zeit in ns rn i f asynchron... synchron

15 5 54 Zeit in ns rn f i asynchron... synchron... Beide Versionen des B-Zählers erreichen nach gewisser Einschwingzeit die gleichen Ergebnisse. Aufgabe für das Praktikum >> Bauen ie die B-Zähler aus den Aufgaben und 4 (siehe oben) auf und weisen ie die Funktionstüchtigkeit durch Eperiment nach. urchführung Beide Varianten des B-Zählers funktionierten optimal. er Versuch verlief erfolgreich. 5

TECHNISCHE UNIVERSITÄT CHEMNITZ FAKULTÄT FÜR INFORMATIK

TECHNISCHE UNIVERSITÄT CHEMNITZ FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT CHEMNITZ FAULTÄT FÜR INFORMATI Hardwarepraktikum im WS / Versuch 5 Sequentielle Systeme III Gruppe 8 Janina Bär Christian Hörr Robert Rex Chemnitz, 8. Januar Hardwarepraktikum Sequentielle

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

Entwurf asynchroner Automaten

Entwurf asynchroner Automaten 5.2.4. Entwurf asynchroner Automaten Die kombinatorische Logik, die in einem synchronen Automaten die Belegungen der Dateneingänge der Flipflops bereitstellt, muß für jedes Flipflop bei jedem Triggerereignis

Mehr

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I Hardwarepraktikum W 997/98 hemnitz, 8.03.09 Hardwarepraktikum W 997/98 Versuch 4 equentielle ysteme I Jan Horbach, 758 hris Hübsch, 7543 Lars Jordan, 7560 eite Hardwarepraktikum W 997/98 hemnitz, 8.03.09

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Elektrische Logiksysteme mit Rückführung

Elektrische Logiksysteme mit Rückführung Elektrische Logiksysteme mit Rückführung Christoph Mahnke 22.06.2006 1 Trigger 1.1 RS-Trigger Ein RS-Trigger oder Flip-Flop ist ein elektronisches Bauelement, welches 2 stabile Zustände einnehmen und diese

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Klausuraufgaben: Flip-Flops

Klausuraufgaben: Flip-Flops Klausuraufgaben: Flip-Flops - Seite 1 Klausuraufgaben: Flip-Flops (1.) Nennen Sie 3 verschiedene Flip-Flops. (2.) Was bezeichnet man bei RS-Flip-Flop als den verbotenen Zustand? (3.) Zeichnen Sie ein RS

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Sequentielle Schaltungen (1)

Sequentielle Schaltungen (1) equentielle chaltungen () equentielle chaltung: chaltung, deren sowohl von den momentan anliegenden als auch von früheren Eingangsbelegungen abhängen. Wesentliche Elemente einer PU wie egister, Zähler

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

Hard- und Softwaretechnik. Digitale Zähler. Andreas Zbinden. 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB

Hard- und Softwaretechnik. Digitale Zähler. Andreas Zbinden. 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB 4. Semester Hard- und Softwaretechnik Digitale Zähler Andreas Zbinden 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB Zusammenfassung Im vorliegenden Dokument werden asynchrone und synchrone,

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN Grundlagenlabor Digitaltechnik Prof. Dr.-Ing. Walter Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik Universität Bremen ITEM GUNDLAGENLABO DIGITALTEHNI VEUH 4 VEUHTHEMA FLIP-FLOP AL

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Hardwarepraktikum WS 1997/98. Versuch 2. Kombinatorische Systeme I

Hardwarepraktikum WS 1997/98. Versuch 2. Kombinatorische Systeme I Harwarepraktikum WS 1997/98 Versuch 2 Kombinatorische Systeme I Jan Horbach, 17518 Chris Hübsch, 17543 Lars Joran, 17560 Seite 1 1. Aufgabe: Gegenstan es Versuchs ist ie BOOLEsche Funktion f = x1 x2 x3

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus igitales esign 2. Juni 25 ie Arbeitszeit beträgt,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben Sie

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten Elektronikpraktikum SS 2011 7. Serie 30.06.2011 J. Pochodzalla und W. Lauth mit Assistenten Do. 30.06.11 13:00-16:00 Uhr, sowie Fr. 01.07.11 13:00-16:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum) 1. Stock,

Mehr

Hardwarepraktikum WS05/06

Hardwarepraktikum WS05/06 Hardwarepraktikum WS5/6 Sven Eckelmann 2..26 Inhaltsverzeichnis Versuch Komb. NANDNANDRealisierung.......................2 NORNORRealisierung.........................3 Schaltung................................

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen.

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen. Elektronikpraktikum SS 2015 7. Serie: Digitale Schaltungen U. Schäfer, A. Brogna, Q. Weitzel und Assistenten Ausgabe: 07.07.2015, Durchführung: Di. 14.07.15 13:00-17:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum)

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : igitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 07/08 Tutorübung

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Lösung Versuch Nr. 5 Automaten

Lösung Versuch Nr. 5 Automaten of f 0 of stp fwd re fwd stp f wd stp r ev 1 A 2 v of rev o f 3 s tp rev C fw d B Digitaltechnik Praktikum 1.Sem. 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 5 Automaten 1: 3-Phasen Takt Generator

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. r. Franz J. Rammig Paderborn, 7..22.Böke,P.hivukula Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" ommersemester 22. Teil: GTI er erste Teil

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007 Klausur (9.02.2007): Technische Grundlagen der Informatik Digitale Systeme WS 2006/2007 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus Digitales Design 2. Juni 2015 Die Arbeitszeit beträgt 1,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte:

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte: Versuch Versuch.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und 4,5V. Messschaltung: I D U 2 U DS U U GS Gemessene Werte: U GS = 3V U DS [V ] I D [ma] 0 0.0

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Ein sequentielles System braucht ein "Gedächtnis", das sich die Eingangsbelegungen in den zurückliegenden Zeitpunkten merkt.

Ein sequentielles System braucht ein Gedächtnis, das sich die Eingangsbelegungen in den zurückliegenden Zeitpunkten merkt. 5.2. Sequentielle Schaltungen 5.2.. Grundlagen 5.2... Begriff Sie erinnern sich: Kombinatorisch heißt ein System dann, wenn seine Ausgangsbelegung in einem Zeitpunkt t n ausschließlich von der Eingangsbelegung

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik Schaltwerke ls Schaltwerke bezeichnet man Logikschaltungen mit Speicher, die interne Zustände behalten können. Besitzt der Speicher neben den statischen ateneingängen noch einen dynamischen Eingang, der

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT Lösungshinweise zur 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) ( Punkte) a) Welche Schaltblöcken können asynchron (ohne Takt) betrieben werden? Lösung:

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 3. Vorlesung Dr.-Ing. Wolfgang Heenes 28. April 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Verilog HDL, Simulation und

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 6. Vorlesung Klaus Kasper Inhalt Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM Digitaltechnik 2 2 Frequenzteiler

Mehr

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-2 Fehlersuche in digitalen Schaltungen Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-2 Versuch L-2 Allgemeines In diesem Versuch soll das Auffinden und

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Handout zum Vortrag: Einfache integrierte digitale Schaltungen von Andreas Bock

Handout zum Vortrag: Einfache integrierte digitale Schaltungen von Andreas Bock Index: 0. Wiederholung Flip-Flop: 0.1 D-Flip-Flop 0.2 JK-FlipFlop 1. Schieberegister 1.1 einfaches Schieberegister 1.2 Schieberegister mit parallelen Ladeeingängen 2. Zähler 2.1 Asynchroner Dualzähler

Mehr

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski

Mehr

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Lehrstuhl für Informatik 12 Cauerstraße 11 91058 Erlangen TECHNICHE FAKULTÄT Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (NAND-chalterfunktion) Es soll ein NAND-Gatter

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

ELEKTRONIKPRAKTIKUM DIGITALTEIL. Institut für Kernphysik

ELEKTRONIKPRAKTIKUM DIGITALTEIL. Institut für Kernphysik ELEKTRONIKPRAKTIKUM DIGITALTEIL Institut für Kernphysik Version 2018 1 2 Projekt 1 Aufbau von Logikschaltungen mit diskreten Bauelementen Aufgabenstellung 1. Bestimmung einer Übertragungskennlinie und

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden sequentielle Schaltungen: digitale Schaltung mit inneren Rückführungen sie haben eine zeitsequentielle Arbeitsweise, wobei die einzelnen diskreten Zeitpunkte durch innere Zustände repräsentiert werden

Mehr

Laborprotokoll Informationstechnologien

Laborprotokoll Informationstechnologien Laborprotokoll Informationstechnologien TKS 2004, Sommersemester 2004/05 Klaus Roleff, Andreas Unterweger ITLB2 Seite 1 von 13 Kombinatorische Beispiele Übung 1a Übungsziel: Programmierung einer 2-Bit-ALU

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr