Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7

Größe: px
Ab Seite anzeigen:

Download "Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7"

Transkript

1 lektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 b) n die Schaltung werden nacheinander die in der Tabelle eingetragenen ingangssignale angelegt. Tragen Sie die sich einstellenden Pegel für z und y ein und begründen sie das rgebnis. Prof. aitinger / Lammert esprechung: φ x 0 x 1 x 2 z y egründung L L H H H L a T n sperrt und T p leitet, lädt sich p auf ufgabe 1 OMINO-MOS Gegeben ist die OMINO-MOS-Schaltung in bbildung 1-1 mit den ingangssignalen x 0, x 1 und x 2, dem Taktsignal φ sowie dem usgangssignal y. ie ingangskapazität des usgangsinverters wird durch p dargestellt. H L H H L H Über T 1, T 2 und T n entsteht ein leitender Kanal, wodurch sich p entlädt L L L H H L a T n sperrt und T p leitet, lädt sich p auf H L L H H L a T 0 und T 1 sperren, kann sich p nicht entladen c) Welche Schaltfunktion realisiert die Schaltung in positiver Logik? φ x 0 T p T 0 T 1 x 1 z y Logische Schaltfunktion: y = ( x 0 x 1 ) x 2 d) Wie viele Transistoren werden für die Realisierung eines Komplexgatters mit k ingängen in OMINO-MOS-Schaltungstechnik benötigt, wie viele in statischer MOS-Schaltungstechnik? p nzahl der benötigten Transistoren : x 2 T 2 OMINO-MOS: 2 + k + 2 = k + 4 (T p +T n +k NMOS-Transistoren+Inverter) T n Statisches MOS: 2k (k NMOS-Transistoren+k PMOS-Transistoren) e) Nennen Sie drei Vorteile der OMINO-MOS-Schaltungstechnik gegenüber der statischen MOS-Schaltungstechnik. bbildung: 1-1 a) Wie heißen die beiden Schaltphasen der OMINO-MOS-Schaltung? Welchen Spannunspegel (L=Low, H=High) nimmt φ jeweils an und wann leiten bzw. sperren die Transistoren T p und T n? Schaltphase φ Tp Tn Vorladephase L leitet sperrt valuierungsphase H sperrt leitet 1. Geringerer Flächenbedarf: Für größere Komplexgatter werden weniger Transistoren benötigt. 2. Höhere Geschwindigkeit: P-Kanal-Transistoren haben eine geringere Ladungsträgerbeweglichkeit und müssen daher größer dimensioniert werden als N-Kanal-Transistoren. a bei OMINO-MOS-Schaltungen nur zwei P-Kanal-Transistoren verwendet werden, ist die ingangskapazität kleiner und die Schaltung damit schneller. 3. Kleinere dynamische Verlustleistung: Wegen des geringeren Flächenbedarfs sind die Verbindungsleitungen kürzer und damit die parasitären Leitungskapazitäten kleiner. f) Welchen Nachteil ergibt sich bei OMINO-MOS-Schaltungen gegenüber statischen MOS-Schaltungen? Seite 1 von 12 Seite 2 von 12

2 lektrotechnische Grundlagen, WS 00/01 lle usgänge liefern nur während der valuierungsphase einen gültigen Wert. aher ist möglicherweise eine Zwischenspeicherung der usgänge durch Flip- Flops notwendig. ufgabe 2 Statische MOS-Gatter a) Gegeben sei die Schaltung in bb. 2-1 aus statischem NMOS Schaltkreisen. rmitteln Sie die Schaltfunktion Y 1 (,,,, ) in positiver Logik. b) Welche Schaltfunktion Y 2 realisiert das nach bb. 2-2 gegebene komplexe MOS-Gatter in positiver Logik? R Y 1 Y 2 bbildung: 2-1 ei NMOS-Schaltungen gilt allgemein: ie Reihenschaltung von Transistoren ergibt eine UN-Verknüpfung, während die Parallelschaltung eine OR-Verknüpfung darstellt. urch einen Widerstand zur etriebsspannung wird die gesamte Logikschaltung negiert: Y 1 = + ( + ) bbildung: 2-2 ine MOS-Schaltung besteht aus einem NMOS- und einem PMOS-Logikgatter, wobei beide Logikgatter diesselbe Schaltfunktion ergeben müssen. as NMOS-Gatter ist identisch mit dem im ufgabenteil a), nur der Widerstand zur etriebsspannung ist durch ein PMOS-Gatter ersetzt worden: Y 2 = + ( + ) Zur Kontrolle sollte noch das PMOS-Gatter untersucht werden: Hier bedeutet eine Reihenschaltung eine OR-Verknüpfung, während eine Parallelschaltung eine UN-Verknüpfung darstellt. in Widerstand vom usgang des PMOS-Gatters zur Masse ergibt eine Negierung der gesamten Schaltfunktion. ieser Widerstand wird bei MOS-Schaltungen durch ein entsprechendes NMOS-Gatter realisiert: Y 2 = + ( + ) a sowohl NMOS- als auch PMOS-Gatter das gleiche rgebnis liefern, stellt das gesamte MOS-Gatter die oben angegebene Schaltfunktion dar. Seite 3 von 12 Seite 4 von 12

3 lektrotechnische Grundlagen, WS 00/01 c) Realisieren Sie folgende Schaltfunktionen zuerst in NMOS- und dann in MOS-Technologie. ie ingangssignale,,,, und F stehen auch negiert zur Verfügung. Y 3 = + Y 4 = + Y 5 = ( + ) + + F Y 3 = +, daher muß das Logikgatter noch durch einen Inverter negiert werden: Hinweis: UN + OR NIHT Y 4 bb. 2-3 zeigt die Realisierung der Schaltfunktion Y 3 : bbildung: 2-4 Y 3 bb. 2-5 stellt die Schaltfunktion Y 5 dar: bbildung: 2-3 bb. 2-4 stellt die Schaltfunktion Y 4 dar. er linke Teile der Schaltung realisiert Y 5 F bbildung: 2-5 Seite 5 von 12 Seite 6 von 12

4 lektrotechnische Grundlagen, WS 00/01 Für die MOS-Gatter müssen alle Widerstände durch entsprechende PMOS- Gatter ersetzt werden: bb. 2-6 zeigt die Realisierung von Y 3 und Y 4 in MOS-Technologie: bb. 2-7 zeigt Y 5 in MOS-Technologie: Y 3 Y 4 F Y 5 F bbildung: 2-6 bbildung: 2-7 Seite 7 von 12 Seite 8 von 12

5 lektrotechnische Grundlagen, WS 00/01 ufgabe 3 Flipflop-Schaltungen c) Wie kann der ursprüngliche Zustand wieder hergestellt werden? bb. 3-1 zeigt eine einfache Flipflop-Schaltung mit Transistoren. Zu eginn der etrachtung soll T 2 leiten und T 1 sperren; die ingänge R und S liegen auf U = 0V. ie Versorgungsspannung sei U = 5V. U er usgangszustand kann dadurch wieder hergestellt werden, daß der ingang R auf 5V gelegt wird. a die Schaltung symmetrisch ist, kippt die Schaltung wie unter (a) beschrieben wieder in ihren usgangszustand zurück. ieser Zustand ist ebenfalls stabil, die Schaltung wird deshalb oft als bistabile Kippstufe bezeichnet, weil sie 2 stabile Zustände besitzt. Sie wird in statischen Halbleiterspeichern verwendet. R 1 R 2 d) Was geschieht, wenn die ingänge R und S zuerst gleichzeitig auf U = 5V und dann gleichzeitig auf U = 0V gelegt werden? Q T1 R 1 R 2 T 2 Q Werden beide ingänge auf 5V gelegt, leitet sowohl T 1 als auch T 2. Legt man daraufhin beide ingänge auf 0V, kann nicht vorhergesagt werden, welchen der beiden stabilen Zustände die Schaltung einnimmt, es wird durch geringe symmetrien in der Schaltung (auteile-toleranzen) bestimmt. er ingangszustand R = S = 5V wird deshalb verboten. R 1 R 2 ufgabe 4 Grundlagen von PL, PL und ROM a) Was bedeuten die bkürzungen PL, PL und ROM? S bbildung: 3-1 a) eschreiben Sie qualitativ das Verhalten der Schaltung, wenn am ingang S eine Spannung von U = 5V angelegt wird. Wird an den ingang S eine Spannung von 5V gelegt, so beginnt T 1 zu leiten. Sein Kollektorpotential wird kleiner. adurch wird über R 1 die asis-mitter- Spannung von T 2 kleiner, die Kollektor-mitter-Spannung von T 2 wird größer. Über R 1 wird dadurch der ffekt der ingangsspannung verstärkt, es kommt zu einem Umkippvorgang, an dessen nde T 1 leitet und T 2 sperrt. b) Wie verhält sich die Schaltung, wenn der ingang S anschließend wieder auf U = 0V gelegt wird? er Zustand der Schaltung ändert sich nicht, wenn S wieder auf 0V gelegt wird, da T 1 über R 2 seinen asisstrom erhält. a T 1 leitet, erhält T 2 keinen asisstrom und sperrt. er Zustand der Schaltung ist stabil. R PL = Programmable nd-rray Logic PL = Programmable Logic rray ROM = Read Only Memory b) Was versteht man unter der Personalisierung einer Schaltung? Unter der Personalisierung versteht man die kundenspezifische Programmierung einer Schaltung. c) ei welcher Schaltung (PL, PL und ROM) lässt sich die UN-Matrix personalisieren, bei welcher die OR-Matrix? UN-Matrix personalisierbar X PL PL X X ROM X OR-Matrix personalisierbar Seite 9 von 12 Seite 10 von 12

6 lektrotechnische Grundlagen, WS 00/01 ufgabe 5 PL- und PL-Minimerung ine Schaltung sei durch die nachfolgende Wahrheitstabelle spezifiziert, die für die Schaltfunktionen f 1 und f 2 gilt ( Funktionsbündel ). a) Minimieren Sie das Schaltfunktionsbündel (f 1,f 2 ) durch Mehrfachausnutzung von Produkttermen. Füllen Sie dabei die nachfolgenden KV-iagramme aus und geben Sie die Produktterme P 0,..., P 3 an. f 1 x 0 x x 2 Für die Produktterme P 0,...,P 3 gilt: P 0 = x 0 x 1 P 1 = x 0 x 2 P 2 = x 0 x 1 P 3 = x 0 x 2 => f 1 =P 0 +P 1 +P 2, f 2 =P 1 +P 2 +P 3 j x 2 x 1 x 0 f 1 f * bbildung: 5-1KV-iagramme f 2 x x * x 2 b) Zeichnen Sie die Personalisierung des PL in die Matrix nach bb. 5-2 ein. Für die UN-Matrix gilt: weißer Punkt = negierte ingangsvariable angeschlossen; schwarzer Punkt = bejahte ingangsvariable angeschlossen; kein Punkt = keine ingangsvariable angeschlossen. Für die OR-Matrix gilt: schwarzer Punkt = Produktterm angeschlossen; kein Punkt = Produktterm nicht angeschlossen. PL x 2 x 1 x 0 f 1 f 2 & P 0 bbildung: 5-2PL c) Realisieren Sie jetzt das Schaltfunktionsbündel als PL nach bb. 5-3 und geben Sie dabei die Produktterme Q 0 bis Q 5 an. Für die Produktterme gilt: Q 0 = P 0 Q 1 = P 1 Q 2 = P 2 Q 3 = P 3 Q 4 = P 1 Q 5 = P 2 PL x2 x 1 x 0 f 1 f 2 & Q 0 bbildung: 5-3PL Q 1 Q 2 Q 3 Q 4 Q 5 P 1 P 2 P 3 Seite 11 von 12 Seite 12 von 12

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6 Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6 Prof. aitinger / Lammert esprechung: 29.01.2001 S I ufgabe 1 MOS-Widerstände bb_dummy: 1.0 a) Zeichnen Sie einen Querschnitt durch einen

Mehr

3 Elektronische Verknüpfungsglieder

3 Elektronische Verknüpfungsglieder 3 Elektronische Verknüpfungsglieder ufgabe 27: RTL NICHT Glied.27.: Skizzieren Sie die Schaltung eines NICHT Schaltgliedes, das mit einem NPN Transistor und Widerständen aufgebaut ist (Resistor Transistor

Mehr

Digitaltechnik. KV-Diagramm

Digitaltechnik. KV-Diagramm KV-01 ie unterscheidet sich von der Analogtechnik dahingehend, dass sie nur zwei (Spannungs)Zustände kennt: nämlich 0V (binär 0) oder 5V (binär 1). iese beiden Zustände werden durch verschiedene logische

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 5 AM 02.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

4. Übung: PLA & Schaltungen Abteilung Verteilte Systeme, Universität Ulm

4. Übung: PLA & Schaltungen Abteilung Verteilte Systeme, Universität Ulm Technische Informatik I 6 4. Übung: PLA & Schaltungen Technische Informatik I 6 Aufgabe : PAL und PLA a) Eine ganzzahlige Division zweier -it inärzahlen soll mit Hilfe eines PLA realisiert werden. Dabei

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, 16.06.2016 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 22. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Vorbesprechung drittes Labor

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 28.03.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Herleitung der Flip-Flop-Schaltung Zum Lesen und Verstehen

Herleitung der Flip-Flop-Schaltung Zum Lesen und Verstehen Herleitung der Flip-Flop-Schaltung Zum Lesen und Verstehen In diesem Dokument soll Schritt für Schritt erklärt werden, wie es zu dem Phänomen der Flip-Flop-Schaltung bzw. des Wechselblickers kommt. Dies

Mehr

Schülerexperimente zur Elektronik

Schülerexperimente zur Elektronik Schülerexperimente zur Elektronik Walter Sova Diodenschaltungen 1) Welche Lämpchen leuchten jeweils bei den Schalterstellungen? 2) Für den Durchlassbereich eines bestimmten Diodentyps wurde die dargestellte

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 12. Vorlesung Dr.-Ing. Wolfgang Heenes 6. Juli 2010 TechnischeUniversität Darmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Logikfamilien 2. Die Ausgangsstufen

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 9 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit Grundlagen der Technischen Informatik 6. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 6. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Relais-Schaltnetze Entwicklungssatz

Mehr

Grundlagen der Technischen Informatik. CMOS-Gatterschaltungen. Kapitel 7.3

Grundlagen der Technischen Informatik. CMOS-Gatterschaltungen. Kapitel 7.3 CMOS-Gatterschaltungen Kapitel 7.3 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design CMOS: Inverter-Schaltung VDD PMOS V in V out V in V out CL NMOS Der Inverter besteht aus zwei Transistoren,

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik. Aufgabe Gruppenschaltung elektronischer Bauelmente

Institut für Informatik. Aufgaben zum Seminar Technische Informatik. Aufgabe Gruppenschaltung elektronischer Bauelmente UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.4.1. - Gruppenschaltung elektronischer auelmente Gegeben

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): ufgabe 1, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b) Ergänzen Sie für Ihre Schaltung in

Mehr

Praktikum 2: Diode, Logische Schaltungen mit Dioden und Feldeffekttransistoren

Praktikum 2: Diode, Logische Schaltungen mit Dioden und Feldeffekttransistoren PraktikantIn 1 Matrikelnr: PraktikantIn 2 Matrikelnr: Datum: Aufgabe 2 durchgeführt: Aufgabe 3 durchgeführt: Aufgabe 4a durchgeführt: Aufgabe 4b durchgeführt: Aufgabe 4c durchgeführt: Aufgabe 4d durchgeführt:

Mehr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Mittwoch, den Uhr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Mittwoch, den Uhr Grundlagenorientierungsprüfung für Elektroingenieure Schaltungstechnik 1 Univ.-Prof. Dr. techn. Josef A. Nossek Mittwoch, den 27.02.2002 9.00 10.30 Uhr Name: Vorname: Matrikel-Nr.: Hörsaal: Platz-Nr.:

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 8,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 8, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 8, 18.05.2017 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

Basisinformationstechnologie I

Basisinformationstechnologie I Basisinformationstechnologie I Wintersemester 2013/14 22. Januar 2014 Kurzwiederholung / Klausurvorbereitung II Universität zu Köln. Historisch-Kulturwissenschaftliche Informationsverarbeitung Jan G. Wieners

Mehr

Schaltungen von Widerständen

Schaltungen von Widerständen Schaltungen von Widerständen von Peter Nemec, Otto-ahn-ymnasium Saarbrücken, 004 ufgabe 1 Wie groß ist der elektrische Widerstand ges zwischen a) den Klemmen und, b) den Klemmen und, wenn alle Teilwiderstände

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Montag, den Uhr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Montag, den Uhr Grundlagenorientierungsprüfung für Elektroingenieure Schaltungstechnik 1 Univ.-Prof. Dr. techn. Josef A. Nossek Montag, den 17.02.2003 9.00 10.30 Uhr Name: Vorname: Matrikel-Nr.: Hörsaal: Platz-Nr.: Dieses

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 01.07.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 GTI ÜBUNG 9 Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK AUFGABE CMOS Beschreibung: Sei die Schaltfunktion f x 3, x 2, x, x 0 = x 0 x x

Mehr

Schaltungstechnik 1 (Wdh.)

Schaltungstechnik 1 (Wdh.) Grundlagenorientierungsprüfung für Elektro- und Informationstechnik Schaltungstechnik (Wdh.) Univ.-Prof. Dr. techn. Josef A. Nossek Freitag, den 6.04.004 9.00 0.30 Uhr Name: Vorname: Matrikel-Nr.: Hörsaal:

Mehr

Klausur "Elektronik und Messtechnik" am Teil: Elektronik

Klausur Elektronik und Messtechnik am Teil: Elektronik Name, Vorname: Matr.Nr.: Klausur "lektronik und Messtechnik" 9115 am 01.10.2004 1. Teil: lektronik Hinweise zur Klausur: Die zur Verfügung stehende Zeit beträgt 2 h. Zugelassene Hilfsmittel sind: Taschenrechner

Mehr

LOGIK. Jinlong cai Referat in Projektlabor

LOGIK. Jinlong cai Referat in Projektlabor LOGIK 1 Logik Gliederung Wozu Logik? Boolesche Algebra Realisierung von der Logiksfunktion ICs Aufbauen 2 Logik Wozu Logik? Boolesche Algebra Realisierung von der Logiksfunktion ICs Aufbauen 3 Wozu logik?

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technische Informatik 1 und 2

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technische Informatik 1 und 2 NVERSTÄT LEPZG nstitut für nformatik Prüfungsaufgaben Klausur Wintersemester 000/001 bt. Technische nformatik Prof. Dr. do Kebschull Dr. Paul Herrmann Dr. Hans-Joachim Lieske Datum: 05. Oktober 000 hrzeit:

Mehr

ELEKTRONIKPRAKTIKUM DIGITALTEIL. Institut für Kernphysik

ELEKTRONIKPRAKTIKUM DIGITALTEIL. Institut für Kernphysik ELEKTRONIKPRAKTIKUM DIGITALTEIL Institut für Kernphysik Version 2018 1 2 Projekt 1 Aufbau von Logikschaltungen mit diskreten Bauelementen Aufgabenstellung 1. Bestimmung einer Übertragungskennlinie und

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Statische CMOS- Schaltungen Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Inhaltsübersicht 1. allgemeiner Aufbau 2. Gleichstrom Transfer Charakteristik 3. Transiente

Mehr

Klassifizierung der Halbleiterspeicher

Klassifizierung der Halbleiterspeicher Klassifizierung der Halbleiterspeicher Halbleiterspeicher nicht flüchtig flüchtig AM nicht löschbar OM POM löschbar EPOM EEPOM statisch AM dynamisch AM abei bedeuten die Abürzungen: OM AM POM EPOM EEPOM

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Inhalt. Lektion 13: Mini-Digitaltechnik 13. MINI-DIGITALTECHNIK 9

Inhalt. Lektion 13: Mini-Digitaltechnik 13. MINI-DIGITALTECHNIK 9 Inhalt 13. MINI-DIGITLTECHNIK 9 13.1 Logische Verknüpfungen 9 13.1.1 ND-Verknüpfung 9 13.1.2 ufstellung einer Wahrheitstabelle 10 13.1.3 ND-Verknüpfung mit Schalter 11 13.1.4 OR-Verknüpfung 13 13.1.5 NOT-Verknüpfung

Mehr

2 Vervollständige die Wahrheitstabellen.

2 Vervollständige die Wahrheitstabellen. Finde die sieben LogikGatter im Rätsel. Die Wörter können von links nach rechts horizontal oder von oben nach unten vertikal versteckt sein. Zur Hilfe ist das erste Wort schon markiert. L B W P F F C G

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): Lösung Nachklausur: DT II, am 22..5 ufgabe, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b)

Mehr

1 Einfache diskrete, digitale Verknüpfungen

1 Einfache diskrete, digitale Verknüpfungen 1 Einfache diskrete, digitale Verknüpfungen Mit den drei Grund Gattern UND, ODER und Nicht lassen sich alle anderen Gattertypen realisieren! Q = e 1 e 1.1 AND, UND, Konjunktion 2 Die Konjunktion (lateinisch

Mehr

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell: inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen

Mehr

Transistor. Arbeitspunkteinstellung

Transistor. Arbeitspunkteinstellung niversity of pplied Sciences ologne ampus Gummersbach Dipl.-ng. (FH) Dipl.-Wirt. ng. (FH) rbeitspunkteinstellung T-01 Der ist ein aktives auteil in der Halbleitertechnik. Er wird hauptsächlich in der Verstärkung

Mehr

F. Technologische Grundlagen

F. Technologische Grundlagen F. Technologische Grundlagen F.1. Einordnung Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare

Mehr

Fall 1: Diode D1 sperrt (u D1 < 0), Diode D2 leitet (i D2 > 0) Fall 2: Diode D1 leitet (i D1 > 0), Diode D2 sperrt (u D2 < 0)

Fall 1: Diode D1 sperrt (u D1 < 0), Diode D2 leitet (i D2 > 0) Fall 2: Diode D1 leitet (i D1 > 0), Diode D2 sperrt (u D2 < 0) 2 31 Aufgabe 1 Operationsverstärker (31 Punkte) Zuerst soll folgende Schaltung mit einem Operationsverstärker, linearen Widerständen und idealen Dioden untersucht werden. i z =0 u D2 D2 i D2 u e u D1 D1

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik

Institut für Informatik. Aufgaben zum Seminar Technische Informatik UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.4.1. - erechnung einer Transistorschaltung mit Emitterwiderstand

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Logikausgang Grundschaltungen in CMOS-Technik

Logikausgang Grundschaltungen in CMOS-Technik Logikausgang Grundschaltungen in CMOS-Technik X Liers - PEG-Vorlesung WS00/0 - Institut für Informatik - FU Berlin 49 Logikausgang Grundschaltungen CS INV in CMOS-Technik (Tristate) Transistor leitet X

Mehr

Elektrotechnische Grundlagen, WS 00/01. Musterlösung Übungsblatt 1. Hieraus läßt sich der Strom I 0 berechnen:

Elektrotechnische Grundlagen, WS 00/01. Musterlösung Übungsblatt 1. Hieraus läßt sich der Strom I 0 berechnen: Elektrotechnische Grundlagen, WS 00/0 Prof. aitinger / Lammert esprechung: 06..000 ufgabe Widerstandsnetzwerk estimmen Sie die Werte der Spannungen,, 3 und 4 sowie der Ströme, I, I, I 3 und I 4 in der

Mehr

AFu-Kurs nach DJ4UF. Technik A14: Digitaltechnik. Amateurfunkgruppe der TU Berlin. Stand

AFu-Kurs nach DJ4UF. Technik A14: Digitaltechnik. Amateurfunkgruppe der TU Berlin.  Stand Technik A14: Digitaltechnik Amateurfunkgruppe der TU Berlin http://www.dk0tu.de Stand 22.02.2016 N X This work is licensed under the Creative Commons Attribution-ShareAlike 3.0 License. Amateurfunkgruppe

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus igitales esign 2. Juni 25 ie Arbeitszeit beträgt,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben Sie

Mehr

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein.

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 3.1 Schaltungselemente 129 b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 2 1 0 1 1 130 3 Arithmetische Schaltungen emultiplexer emultiplexer

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 8 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.   WOCHE 8 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 8 AM 11.12.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 8 AM 23.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Lerntext zum Kapitel Digitaltechnik

Lerntext zum Kapitel Digitaltechnik lektronik/mikroprozessoren Digitaltechnik 1 zum Kapitel Digitaltechnik Hallo Studierende, der folgende dient dazu, sich das Kapitel lip-lops im Selbststudium aneignen zu können. Offene ragen klären Sie

Mehr

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel:

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: Seite 1 Aufgabe 1 Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: f 1 = a b c d + a b c d + a b c d + a b c d + a

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung

Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung Organisatorisches Termine: 01.11.2013 15.11.2013 29.11.2013 13.12.2013 10.01.2014 24.01.2014

Mehr

Digitalelektronik: Einführung

Digitalelektronik: Einführung Überblick Grundlagen: Spannung, Strom, Widerstand, IV-Kennlinien Elektronische Messgeräte im Elektronikpraktikum Passive Filter Signaltransport im Kabel Transistor Operationsverstärker PI-Regler Sensorik

Mehr

Prüfung _2. Aufgabe ET2

Prüfung _2. Aufgabe ET2 ufgabe ET2 Seite-01 Hier muss als erstes der rbeitspunkt bestimmt werden, an dem die Tangente für den Differenziellen Widerstand angelegt wird. Dazu wird die Schaltung in zwei Teile unterteilt nämlich

Mehr

Übertragungskennlinien

Übertragungskennlinien Übertragungskennlinien für H- und L-Pegel für H- und L-Pegel NOT Funktion = /X Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 25 Übertragungskennlinien für H- und L-Pegel für H- und

Mehr

Verlustleistungsreduzierung in Datenpfaden

Verlustleistungsreduzierung in Datenpfaden Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken

Mehr

Aufgabe 1 Bipolare Transistoren

Aufgabe 1 Bipolare Transistoren 2 22 Aufgabe Bipolare Transistoren (22 Punkte) Gegeben sei die folgende Transistor-Schaltung bestehend aus einem pnp- und einem npn-transistor. i b2 i c2 i b T2 i c T i 2 R 2 i a =0 u e u a U 0 i R Bild

Mehr

Entwerfen Sie einen Sequenzdetektor als Mealy-Automat für eine Sequenz, bei der drei aufeinanderfolgende "1"-Werte erkannt werden sollen.

Entwerfen Sie einen Sequenzdetektor als Mealy-Automat für eine Sequenz, bei der drei aufeinanderfolgende 1-Werte erkannt werden sollen. Prof. r.-ing.. Schubert igitaltechnik II ufgabe 6.: ntwerfen Sie einen Sequenzdetektor als Mealy-utomat für eine Sequenz, bei der drei aufeinanderfolgende ""-Werte erkannt werden sollen. X SQ Y a) Zeichnen

Mehr

Von der Schaltungslogik zur Informationsverarbeitung

Von der Schaltungslogik zur Informationsverarbeitung Wintersemester 7/8 Schaltungslogik. Kapitel Von der Schaltungslogik zur Informationsverarbeitung Prof. Matthias Werner Professur Betriebssysteme 49 Schaltnetze! Gatter implementieren boolesche Funktionen

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

Herbstsemester 6 5. Übung zur Vorlesung igitaltechnik Musterlösung Übung 5 ufgabe a) arstellung der negativen Tahlen im Zweierkomplement: nschliessende erechnung: 7 : 7 = 7 = + = 2 : 2 = 2 = + = 4 : 4

Mehr

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0 Satz von De Morgan A + = A A A + A + A A 0 0 0 1 1 1 1 0 1 1 0 1 1 0 1 0 1 0 0 1 0 1 1 1 0 0 0 0 Transistoren: A U a A 0 0 Vcc 1 0 1 Vcc 1 1 0 Vcc 1 1 1 0 V 0 eispiel: Schaltung zur Erkennung gültiger

Mehr

Logikfamilien der Digitaltechnik

Logikfamilien der Digitaltechnik Logikfamilien der Digitaltechnik W.Kippels 22. März 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Grundlagen der TTL-Technik 2 2.1 NND-Gatter in TTL-Technik....................... 2 2.2 NOR-Gatter in TTL-Technik........................

Mehr

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik Schaltwerke ls Schaltwerke bezeichnet man Logikschaltungen mit Speicher, die interne Zustände behalten können. Besitzt der Speicher neben den statischen ateneingängen noch einen dynamischen Eingang, der

Mehr

Sequentielle Schaltungen (1)

Sequentielle Schaltungen (1) equentielle chaltungen () equentielle chaltung: chaltung, deren sowohl von den momentan anliegenden als auch von früheren Eingangsbelegungen abhängen. Wesentliche Elemente einer PU wie egister, Zähler

Mehr

Teil 1: Logik 1b: Schaltnetze

Teil 1: Logik 1b: Schaltnetze chaltnetze Teil : Logik b: chaltnetze chaltnetze...sind Funktionen, die von mehreren gleichen ingangsvariablen abhängen y = y (x.x n ) = (x.x n ) y m = y m (x.x n ) eispiel für chaltnetze: ddition und

Mehr

4.Übung Schaltungstechnik SS2009

4.Übung Schaltungstechnik SS2009 . Aufga: Kollektorschaltung als Impedanzwandler Lernziele erechnung der Schatungsparameter einer Kollektorschaltung. insatz der Kollektorschaltung als Impedanzwandler. Aufganstellung R +U b R g R g U g

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

Schaltungstechnik

Schaltungstechnik KLAUSUR Schaltungstechnik 26.07.2012 Prof. Dr.-Ing. habil. F. Ellinger Dauer: 180 min. Aufgabe 1 2 3 4 5 6 Punkte 15 12 17 13 10 11 78 Modellgleichungen Für die Klausur werden folgende Transistormodelle

Mehr

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt Klausurdauer: 90 Minuten Probeklausur: Grundlagen der Technischen Informatik Seite: 1 von 14 nmerkungen zu den ufgabenstellungen, Lösungen und ewertungen ies ist eine Klausur im Multiple-Choice Verfahren,

Mehr

Halbleiterphysik und Anwendungen Vorlesungsplanung Teil 10: Speicherbauelemente Prof. Dr. Sven Ingebrandt

Halbleiterphysik und Anwendungen Vorlesungsplanung Teil 10: Speicherbauelemente Prof. Dr. Sven Ingebrandt Halbleiterphysik und Anwendungen Teil 10: Speicherbauelemente Prof. Dr. Sven Ingebrandt Fachhochschule Kaiserslautern - Standort Zweibrücken www.hs-kl.de Vorlesungsplanung Grün: Termine, die ausfallen

Mehr

D. Programmierbare Logik

D. Programmierbare Logik D. Programmierbare Logik Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare Logikfunktionen,

Mehr

Aufgabe E1: Aufgabe E2: Aufgabe E3: Fachhochschule Aachen Lehrgebiet Flugzeug- Elektrik und Elektronik Prof. Dr. G. Schmitz

Aufgabe E1: Aufgabe E2: Aufgabe E3: Fachhochschule Aachen Lehrgebiet Flugzeug- Elektrik und Elektronik Prof. Dr. G. Schmitz Aufgabe E1: Gegeben sei eine Leuchtdiode (LED), die an einer Gleichspannung von 3V betrieben werden soll. Dabei soll sich ein Strom von 10mA einstellen. a) erechnen Sie den erforderlichen Vorwiderstand,

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Mikrocomputertechnik - Speicher

Mikrocomputertechnik - Speicher 4. peicher 4.1 Allgemeines peicherpyramide 4-1 peichermatrix 4-2 4.2 Halbleiterspeicher Klassifizierung der Halbleiterspeicher Halbleiterspeicher nicht flüchtig flüchtig RAM nicht löschbar ROM PROM löschbar

Mehr