2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

Größe: px
Ab Seite anzeigen:

Download "2 Von der Aufgabenbeschreibung zum Zustandsdiagramm"

Transkript

1 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen: Welche Eingangssignale sind synchron, welche asynchron? Wieviele Zustände sind erforderlich, und welche Bedeutung haben diese? Muss der Automat (aus Geschwindigkeitsgründen) als Mealy-Automat realisiert werden oder reicht ein (sichererer) Moore-Automat? Läßt sich die Anzahl der Zustände in einem zweiten Schritt systematisch minimieren? Welche Zielhardware (FPGA oder (C)PLD ) ist vorgesehen? Ist für die Anwendung eine sichere Rückkehr aus möglicherweise vorhandenen Pseudozuständen sicher zustellen? Bei der Erstellung des Zustandsdiagramms werden zunächst die "normalen" Zustandsübergänge betrachtet und hinterher die Sonderfälle. DIGITALE SYSTEME 2-1

2 2.1 Entwurf eines Modulo 3 Vorwärts- / Rückwärtszählers Der Zähler kann vorwärts (DIR='0') und rückwärts zählen (DIR='1'). Gezählt wird nur, falls das Eingabesignal EN='1' ist. Das Ausgangssignal TC soll für genau einen Takt gesetzt werden, falls beim Hinaufzählen S=2 ist, bzw. falls beim Hinabzählen S=0 ist. EN,DIR/ TC S DIR EN CLK modulo-3 counter TC Der Zähler soll seine Zählrichtung während eines Zyklus umkehren können. Der Zähler bleibt im aktuellen Zustand, falls EN='0' ist. S 0 S 1 S 2 DIGITALE SYSTEME 2-2

3 2.2 Entwurf eines seriellen Addierers Der Addierer besteht aus zwei Schieberegistern, in denen die Operandenbits a i und b i taktsynchron nach rechts geschoben werden. Im Zustandsautomat erfolgt eine 1-Bit Addition der jeweils beiden niederwertigen Operanden a i, b i und des Carry-Bits c i-1 der vorangegangenen Addition. Das Summationsbit wird im Ergebnis-Schieberegister von links nach rechts geschoben. Vorteil: Mit einem 1-Bit Volladdierer kann eine (platzsparende) Addition beliebiger Bitbreite erfolgen. Nachteil: Eine n-bit Addition erfordert n-takte. A Shift Register S = A + B Shift Register a i b i Adder- FSM s i = a i + b i + c i-1 Die Addierer-FSM speichert implizit das Carry-Bit der 1- Bit-Additionen. CLK B DIGITALE SYSTEME 2-3

4 Entwurf eines Mealy-Automaten für den seriellen Addierer Abhängig vom Wert des Carry-Bits der jeweils vorherigen 1-Bit Addition muss der Zustandsautomat unterschiedliche Ergebnisse und Zustandsübergänge realisieren: Bedeutung der Zustände: G: Carry-In='0' Z a i b i / s i H. Carry-In='1' Zustandsfolge- und Ausgangstabelle: Z a i b i Z + s i G 0 0 G 0 1 G 1 0 G 1 1 H 0 0 H 0 1 H 1 0 H 1 1 Reset G Die logischen Gleichungen für s i und Z + entsprechen denen von SUM bzw. Carry-Out des Volladdierers. H DIGITALE SYSTEME 2-4

5 Entwurf eines Moore-Automaten für den seriellen Addierer Beim Moore-Automat darf das Ausgangssignal s i nur vom Zustand abhängen Aufspaltung der Mealy-Zustände G und H in je zwei Zustände G 0, G 1 bzw. H 0, H 1. Gewählte Zustandscodierung: a i b i Z Q1 Q0 Z G 0 G 1 H 0 H Zustand Folgezustand Z + Z a i b i = G 0 G 1 Ausg. s i G 0 0 Reset s i H 0 0 H 0 H 1 G 1 1 H 1 1 DIGITALE SYSTEME 2-5

6 Schaltpläne der seriellen Addierer Mealy-Modell Moore-Modell SI = a i xor b i xor Q0 Q0 + = (a i b i ) (a i Q0) (b i Q0) Q0 + = a i xor b i xor Q1 Q1 + = (a i b i ) (a i Q1) (b i Q1) SI = Q0 DIGITALE SYSTEME 2-6

7 2.3 Entwurf der hinteren Blinkersteuerung für den Ford Thunderbird (Bj. 1965) (aus J.F. Wakerly, Digital Design, Prentice Hall 1999) Blinkfolge Links Rechts LC LB LA RA RB RC Der T-Bird besitzt pro Seite je 3 Heckblinker, die zyklisch ein- und ausgeschaltet werden. DIGITALE SYSTEME 2-7

8 Spezifikation der Blinkersteuerung Drei Eingangssignale: LEFT, RIGHT zum Abbiegen und HAZ als Warnblinkfunktion Eine vorhandene Taktsteuerung mit geeigneter Frequenz sorgt beim Abbiegen für die Ansteuerung der Blinker (s. o.) In der Warnblinkfunktion sollen alle 6 Leuchten L2 periodisch blinken. Die geringe Blinkfrequenz erlaubt den sichereren L1 L3 Ansatz eines Moore-Automaten 1. Lösungsansatz: Im Zustand IDLE sind alle Lampen ausgeschaltet. Die Ausgangssignale lassen sich direkt aus den Zuständen ableiten: LA=L1 L2 L3 LR3 RA=R1 R2 R3 LR3 LB= L2 L3 LR3 RB=R2 R3 LR3 LC= L3 LR3 RC=R3 LR3 R1 IDLE R2 R3 LR3 DIGITALE SYSTEME 2-8

9 Zweite Version des Zustandsdiagramms Die erste Version berücksichtigt nicht, dass evtl. mehrere Eingangssignale gleichzeitig aktiv sind. Daher muss das Zustandsdiagramm korrigiert werden: Die Auslösung der Warnblinkanlage erhält höhere Priorität! L1 L2 L3 Die gemeinsame Auslösung von LEFT und RIGHT soll ebenfalls zur Auslösung der Warnblinkfunktion verwendet werden. Denn in einem Zustandsdiagramm muss sicher gestellt sein, dass : IDLE LR3 Zustandsübergänge gegenseitig ausgeschlossen sind. R1 R3 Alle möglichen Eingangskombinationen berücksichtigt wurden. R2 DIGITALE SYSTEME 2-9

10 Funktionsverbesserung des Zustandsautomaten Der bisher betrachtete Automat hat den Nachteil, dass es bei einem Unfall einige Zyklen dauert, bis die Warnblinkanlage aktiviert wird, falls zuvor der Blinker zum Abbiegen aktiv war. L LEFT, RIGHT, HAZ L1 L2 L3 Zusätzliche Zustandsübergänge verbessern das Verhalten des Automaten. IDLE LR3 Abschliessend empfiehlt es sich, die Zustandsübergänge nicht durch Signale, sondern durch Signalwerte (0, 1 oder X) zu beschreiben. Frage: Was passiert mit den Blinklampen, wenn der Blinkhebel während eines Zyklus ausgeschaltet wird? R1 R2 R3 DIGITALE SYSTEME 2-10

11 Zustandscodierung für die Blinkersteuerung Binärcodierung der 8 Zustände: Die Binärcodierung erfordert die geringste Anzahl von Flipflops für (C)PLD-Hardware besonders gut geeignet. Der Anfangszustand IDLE sollte 000 sein, da dieser Zustand nach einem asynchronen Reset (Power-on) der Flipflops eingenommen wird (Nicht in allen Technologien existieren Flipflops mit asynchronem Preset!) Es existieren zwei Zyklen, in denen "gezählt" wird: IDLE L1 L2 L3 bzw. IDLE R1 R2 R3 Zustand IDLE L1 L2 L3 R1 R2 R3 LR3 Q2 Q1 Q Es empfiehlt sich, diese Zustände jeweils im Gray-Code der Bits Q1 und Q0 zu codieren, da sich zwischen zwei Zuständen jeweils nur ein Zustandssignal ändert. Der Aufwand des Übergangsschaltnetzes wird dadurch minimiert. Der Unterschied zwischen links und rechts wird durch das Zustandsbit Q2 realisiert. Dem Zustand LR3 wird das letzte verbleibende Zustandscodewort zugeordnet. DIGITALE SYSTEME 2-11

12 VHDL-Modell der Blinkersteuerung Entwurf als 2-Prozess Moore-Automat Die Zustandscodierung erfolgt mit dem Attribut "ENUM_ENCODING" entity TBIRD_FLASH is port( CLK, RESET, LEFT, RIGHT, HAZ : in bit; -- Eingangssignale L, R: out bit_vector(2 downto 0)); -- Blinkersignale end TBIRD_FLASH; architecture MOORE of TBIRD_FLASH is type ZUSTAENDE is (IDLE, L1, L2, L3, R1, R2, R3, LR3); -- Aufzählungstyp attribute ENUM_ENCODING: string; -- Zustandscodierung attribute ENUM_ENCODING of ZUSTAENDE: type is " " signal ZUSTAND,FOLGE_Z: ZUSTAENDE ; -- Prozess-Kommunikation begin Z_SPEICHER: process(clk, RESET) -- Zustandsaktualisierung begin if RESET = '1' then ZUSTAND <= IDLE after 10 ns; elsif CLK = '1' and CLK'event then ZUSTAND <= FOLGE_Z after 20 ns; end if; end process Z_SPEICHER; DIGITALE SYSTEME 2-12

13 NETZE: process(zustand, LEFT, RIGHT, HAZ) -- Folgezustandsberechnung begin L <= (others =>'0') after 10 ns; -- Defaults: R <= (others =>'0') after 10 ns; -- Alle Lampen aus FOLGE_Z <= LR3 after 10 ns; -- Warnblinkzustand case ZUSTAND is when IDLE =>if not(left='1' or RIGHT='1' or HAZ='1') then FOLGE_Z <= IDLE after 10 ns; elsif (LEFT='1' and RIGHT='0' and HAZ='0') then FOLGE_Z <= L1 after 10 ns; elsif (RIGHT='1' and LEFT='0' and HAZ='0') then FOLGE_Z <= R1 after 10 ns; end if; when L1 => L <= "001" after 10 ns; if HAZ='1' then FOLGE_Z <= LR3 after 10 ns; else FOLGE_Z <= L2 after 10 ns; end if; when L2 => L <= "011" after 10 ns; if HAZ='1' then FOLGE_Z <= LR3 after 10 ns; else FOLGE_Z <= L3 after 10 ns; DIGITALE SYSTEME 2-13

14 end if; when L3 => L <= "111" after 10 ns; FOLGE_Z <= IDLE after 10 ns; when R1 => R <= "001" after 10 ns; if HAZ='1' then FOLGE_Z <= LR3 after 10 ns; else FOLGE_Z <= R2 after 10 ns; end if; when R2 => R <= "011" after 10 ns; if HAZ='1' then FOLGE_Z <= LR3 after 10 ns; else FOLGE_Z <= R3 after 10 ns; end if; when R3 => R <= "111" after 10 ns; FOLGE_Z <= IDLE after 10 ns; when LR3=> L <= "111" after 10 ns; R <= "111" after 10 ns; FOLGE_Z <= IDLE after 10 ns; end case; end process NETZE; end MOORE; DIGITALE SYSTEME 2-14

15 DIGITALE SYSTEME 2-15

16 Verhaltensgleiche VHDL-Implementierung als Medvedev Automat Jeder sinnvolle Zustand der Blinkerleuchten stellt eine Bitkombination der Steuersignale dar. Diese Bitkombinationen werden deshalb zur Zustandskodierung genutzt. Die Anzahl der erforderlichen D-FFs ist durch die Anzahl der Blinkerleuchten bestimmt. Die Rückleuchten können direkt durch die Zustands-Flipflops gesteuert werden, sodass kein Ausgangsschaltnetz erforderlich ist. Selbst definierte Aufzählungstypen sind für Signale der Entity-Schnittstellenliste nicht zulässig. Die Zustände werden deshalb mit Konstanten realisert, deren Type für die Signalmodi genutzt werden kann. architecture MEDVEDEV of TBIRD_FLASH is constant IDLE: bit_vector(5 downto 0):="000000"; constant L3: bit_vector(5 downto 0):="111000"; constant L2: bit_vector(5 downto 0):="011000"; constant L1: bit_vector(5 downto 0):="001000"; constant R1: bit_vector(5 downto 0):="000001"; constant R2: bit_vector(5 downto 0):="000011"; constant R3: bit_vector(5 downto 0):="000111"; constant LR3: bit_vector(5 downto 0):="111111"; signal LIGHTS: bit_vector(5 downto 0); begin DIGITALE SYSTEME 2-16

17 P1: process(clk, RESET) begin if RESET='1' then LIGHTS <= IDLE; elsif CLK='1' and CLK'event then case LIGHTS is when IDLE => if HAZ='1' or (LEFT='1' and RIGHT='1') then LIGHTS <= LR3; elsif LEFT='1' then LIGHTS <= L1; elsif RIGHT='1' then LIGHTS <= R1; else LIGHTS <= IDLE; end if; when L1 => if HAZ='1' then LIGHTS<= LR3; else LIGHTS<= L2; end if; when L2 => if HAZ='1' then LIGHTS<= LR3; else LIGHTS<= L3; end if; when L3 => LIGHTS<= IDLE; when R1 => if HAZ='1' then LIGHTS<= LR3; else LIGHTS<= R2; end if; when R2 => if HAZ='1' then LIGHTS<= LR3; else LIGHTS<= R3; end if; when R3 => LIGHTS<=IDLE; when LR3 => LIGHTS<=IDLE; when others => LIGHTS<=IDLE; end case; end if; end process P1; (L,R) <= LIGHTS after 10 ns; -- end MEDVEDEV; -- beruecksichtigt 56 Kombinationen! DIGITALE SYSTEME 2-17

18 Simulationsergebnis des Medvedev-Modells Lights = state DIGITALE SYSTEME 2-18

19 Vergleich Implementierungen XC95108 CPLD Device FSM Model Moore Medvedev State encoding Gray Code 6 State Bits = Tail Light Bits Flipflops 3 D-FF 4 D-FF / 2 Toggle-FF Macrocells 8 6 Product Terms Output 9 Pts No Combinational Logic DIGITALE SYSTEME 2-19

Welches ist die Zustandscodierung mit der schnellsten und/oder kostengünstigsten Lösung?

Welches ist die Zustandscodierung mit der schnellsten und/oder kostengünstigsten Lösung? 6 Zustandscodierung Bisher sind Zustandscodierungen ohne weitere Diskussion van alternativen und deren Auswirkung auf den Entwurf genutzt worden. In einem Automaten mit den vier Zuständen S0, S1, S2, S3,

Mehr

3 Entwurf digitaler Systeme mit ASM-Diagrammen

3 Entwurf digitaler Systeme mit ASM-Diagrammen 3 Entwurf digitaler Systeme mit ASM-Diagrammen (ASM = Algorithmic State Machine) ASMs dienen der Beschreibung von Zustandsautomaten auf einer höheren (algorithmischen) Abstraktionsebene. Sie beschreiben

Mehr

Übung 7: VHDL Automaten

Übung 7: VHDL Automaten Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben Aufgabe 1 -- Aufgabe 1 -- 8 zu 1 Decoder entity DECODER1X8 is port( S: in bit_vector(2 downto 0); Y: out bit_vector(7 downto 0)); end DECODER1X8;

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Gliederung dieser Einführung in VHDL

Gliederung dieser Einführung in VHDL Gliederung dieser Einführung in VHDL 1) Formaler Aufbau von VHDL-Modellen 2) Testumgebungen VHDL-Modelle, die Eingangssignale zum Testen eines Modells bereitstellen 3) Zeitmodelle in VHDL Nachbildung des

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen Rechnerstrukturen 3b. Endliche Automaten Ziele Modellierung und Realisierung von Steuerungen Beispiele Autoelektronik: ABS-System Consumer: Kamera, Waschmaschine, CD-Player, Steuerung technischer Anlagen

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Kapitel 5: Schieberegister. Anwendungen von Schieberegistern. Grundschaltung eines Schieberegisters. Kapitelverzeichnis (Buch Künzli)

Kapitel 5: Schieberegister. Anwendungen von Schieberegistern. Grundschaltung eines Schieberegisters. Kapitelverzeichnis (Buch Künzli) Kapitelverzeichnis (Buch Künzli). Begriffe und efinitionen. Kombinatorische Logik und Schaltalgebra. Speicherbausteine (Flip-Flops). Zähler 5. Register und Schieberegister 6. Automaten. Programmierbare

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

6. Aufgabenblatt mit Lösungsvorschlag

6. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 6. Aufgabenblatt mit Lösungsvorschlag 26.05.2010 Aufgabe 1: Entwurf der Steuerung eines Verkaufsautomaten Folge Spezifikation für

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

Synchrone Zähler. Synchroner Dualzähler

Synchrone Zähler. Synchroner Dualzähler Synchrone Zähler alle Zählstufen haben bezüglich des Zähltaktes etwa die gleiche Verzögerungszeit. Dadurch Vorteile gegenüber asynchronen Zählern. Entwurf von Synchronzählern aufwendiger. auf den Eingang

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 5.1 Eine kontinuierliche serielle Signalfolge soll mit einer Seriell/Parallel- Wandlerstufe in Byte-parallele Daten umgeformt werden. Die Wandlerstufe besteht aus einem Schieberegister

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 7.8.2 Die Bearbeitungsdauer beträgt für

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

1 Hardwareentwurf. 1.1 Grundlagen

1 Hardwareentwurf. 1.1 Grundlagen 1 Hardwareentwurf 1.1 Grundlagen POSITIVE natürliche Zahlen N NATURAL N 0 INTEGER ganze Zahlen Z REAL reelle Zahlen R BOOLEAN (true, false), (low, high) BIT ( 0, 1 ) CHARACTER (..., A, B,..., a, b,...,

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe d b p q ^ i q r k d d b p q ^ i q r k d c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q b ` e k f h r k a c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q

Mehr

Hardware/Software Co-Design

Hardware/Software Co-Design Hardware/Software Co-Design Kapitel : Logiksynthese und VHDL-Einführung Mario Schölzel Geschichte von VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very-High Speed Integrated Circuits Entwickelt

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Übungsaufgaben mit Lösungen zur 6. Auflage

Übungsaufgaben mit Lösungen zur 6. Auflage Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen zur 6. uflage Zu den einzelnen Kapiteln sind Übungsaufgaben angegeben. Einige enthalten die Lösung in Kurzform. Sie finden die ausführlichen Musterlösungen

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 5 Rechnerarithmetik

Mehr

7.0 Endliche Zustandsautomaten und Steuerwerke

7.0 Endliche Zustandsautomaten und Steuerwerke 7.0 Endliche Zustandsautomaten und Steuerwerke Die Ziele dieses Kapitels sind: Aufbau und Funktionsweise von Schaltwerken zu verstehen Verschiedene Realisierungsmöglichkeiten von Schaltwerken mittels Zustandsautomaten

Mehr

Electronic Design Automation (EDA) Register-Transfer-Synthese

Electronic Design Automation (EDA) Register-Transfer-Synthese Electronic Design Automation (EDA) Register-Transfer-Synthese Überblick digitale Synthese Register-Transfer-Synthese Makrozellgenerator Beispiel Addierer (1)... (2)... (3)... (4) Beispiel Speicher Synthese

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch omutertechnik r. Wolfgang Koch 4.3 Schaltwerke, Sequentielle Schaltungen Seicher, Register... : Frühere Eingaben (innere Zustände) sielen eine Rolle (werden geseichert) Friedrich Schiller University Jena

Mehr

10 Übungsaufgaben mit Lösungen

10 Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen Zu den einzelnen Kapiteln sind zahlreiche Übungsaufgaben mit ausführlichen Musterlösungen angegeben. Der Leser soll möglichst die Aufgaben selbständig lösen und anschließend

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 2.1 Das folgende Schaltbild soll in eine VHDL-Verhaltensbeschreibung übertragen werden. Lösung 2.1 Jedes Schaltbild aus logischen Grundelementen kann in eine logische Gleichung

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

Stichwortverzeichnis. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen ISBN:

Stichwortverzeichnis. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen ISBN: Stichwortverzeichnis Gerd Wöstenkühler Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen ISBN: 978-3-446-42737-2 Weitere Informationen oder Bestellungen unter http://www.hanser.de/978-3-446-42737-2

Mehr

1 Grundlagen von VHDL

1 Grundlagen von VHDL TI 2 - Zusammenfassung 1 1 Grundlagen von VHDL entity Die entity deklariert die externe Schnittstelle. Es werden die elektrischen Signale (PORTS) und die zahlenmäßigen (GENERICS) Signale beschrieben. Jeder

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

LED. Elektronikpraktikum. 10 Flip-Flops und Zähler. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. 10.1 JK-Flipop. V cc.

LED. Elektronikpraktikum. 10 Flip-Flops und Zähler. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. 10.1 JK-Flipop. V cc. Fachbereich Physik Elektronikpraktikum 10 Flip-Flops und Zähler Stichworte Informieren Sie sich über ankengetriggerte FFs, Asynchron-, Synchronzähler und Schieberegister. Schriftliche Vorbereitung Zeichnen

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins. 2.5 Boundary Scan (JTAG)

2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins. 2.5 Boundary Scan (JTAG) 2.5 Boundary Scan (JTAG) JTAG = Joint Test Action Group (für Boundary Scan verantwortliches Gremium) 2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins Boundary-Scan-Zelle 2.5. Überblick

Mehr

TECHNISCHE HOCHSCHULE NÜRNBERG GEORG SIMON OHM Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

2. Einführung in VHDL

2. Einführung in VHDL 2. Einführung in VHDL Programm für heute: Motivation für eine Hardwarebeschreibungssprache Aufbau einer VHDL-Beschreibung Signale Zuweisungen Wertebereich Schnittstellen Entity und Architecture Hardwareanalogie

Mehr

VHDL Simulation. in ORCAD

VHDL Simulation. in ORCAD VHDL Simulation in ORCAD V1.0 Graz, Jänner 2002 Inhaltsverzeichnis 1 Einleitung 1 1.1 Simulation und Verifikation 2 1.2 Entwurfsqualität 2 1.3 Begriffe in der Elektronik und ihre Äquivalenz zu VHDL 3 1.4

Mehr

Sequenzielle Schaltungen (1)

Sequenzielle Schaltungen (1) Sequenzielle Schaltungen () Sequenzielle Schaltung: Schaltung, deren Ausgänge sowohl von den momentan anliegenden als auch von früheren Eingangsbelegungen abhängen. Wesentliche Elemente einer CPU wie Register,

Mehr

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1 Schaltwerke (13) - Automaten (13.1) α SCHALTWERKE (13) [04.06.02, Folie 481, Übungen 07] Schaltwerke sind wesentliche Funktionseinheiten eines Computers. Beispiele hierfür sind das Rechen- und das Leitwerk

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 29.11.2016 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Hardware Synthese mit VHDL

Hardware Synthese mit VHDL Hardware Synthese mit VHDL Thomas Schanz, Christoph Tenzer IAAT - Universität Tübingen September 2004 Vorwort Dieses Dokument entstand am Rande der wissenschaftlichen Arbeit der Verfasser am IAAT der

Mehr

Was sind die Vor- und Nachteile von asynchronen bzw. synchronen Resets?

Was sind die Vor- und Nachteile von asynchronen bzw. synchronen Resets? 1 Linting Guidelines Was sind die Vor- und Nachteile von asynchronen bzw. synchronen Resets? Weshalb dürfen kombinatorische Schleifen nicht auftreten und wie können sie vermieden werden? Was ist beim Treiben

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

Mikrocomputertechnik. Einadressmaschine

Mikrocomputertechnik. Einadressmaschine technik Einadressmaschine Vorlesung 2. Mikroprozessoren Einführung Entwicklungsgeschichte Mikroprozessor als universeller Baustein Struktur Architektur mit Akku ( Nerdi) FH Augsburg, Fakultät für Elektrotechnik

Mehr