I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

Größe: px
Ab Seite anzeigen:

Download "I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK"

Transkript

1 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK V H D L Tim Köhler April 2005

2 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Übersicht 1. Einleitung 2. Syntax 3. Spezielle Probleme 4. Beispiel 5. Ausblick

3 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK VHDL VHDL : VHSI Hardware Description Language Very High Speed IC Hardware Description Language 1983 vom amerikanischen DoD initiiert 1987 als IEEE 1076 normiert 1993, 2000 Überarbeitungen Erweiterungen, z.b AMS (Analog and Mixed Signal) Zweck: Beschreibung/Design von (digitalen) Systemen Simulation von (digitalen) Systemen

4 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Entwurfsparadigmen Hierarchie (Unterteilung in Komponenten) Modelle (unterschiedliche Beschreibungsebenen): Verhalten, Struktur,... Datenhaltung (Wiederverwendung, Herstellerbibliotheken) Design-Alternativen (u.a. Trennung von Schnittstelle und Implementation)... (mehr im Vortrag Übersicht/Entwurfsablauf)

5 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Syntax: Hello World! Hello World! in VHDL library IEEE; 05 use ieee.std logic 1164.all; -- gross/klein egal! entity HelloWorld is 08 port( 09 A, B: in bit; 10 C : out bit 11 ); 12 end; -- end HelloWorld; / end entity HelloWorld; architecture Version1 of HelloWorld is 15 begin 16 C <= A AND NOT B; 17 end architecture Version1; 18 Bibliothek einbinden Schnittstelle Implementierung

6 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Einheiten der Sprache VHDL entity = Schnittstelle architecture = Implementierung configuration = Auswahl von Design-Alternativen package = Kopf einer Bibliothek package body = Implementierung einer Bibliothek Verteilung der Einheiten auf Dateien von CAD-Software abhängig!

7 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK entity entity Demo is 08 port( 09 A, B : in bit; 10 C : out bit; 11 reset : in std logic; 12 ack : out std logic; 13 Daten : inout std logic; 14 Adresse: in bit vector(9 downto 0) 15 ); 16 end; -- end Demo; / end entity Demo; Bezeichner 2. Richtung 3. Typen

8 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Typen Typen in VHDL TYP WERTE BEISPIEL bit 0, 1 on <= 1 ; 07 bit vector array of bit ab(0) <= 0 ; 08 std logic H, L, Z, X bus <= Z ; bus <= 1 ; 09 std logic vector array of std logic db(7 downto 0) <= integer 12,16#3FFF# zaehler <= zaehler + 1; 11 (real)

9 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Eigene Typen definieren Typ definieren: type ZUSTAENDE is (RESET, INITIERUNG, RUNNING, HALT); Typ verwenden: signal AktuellerZustand : ZUSTAENDE; 10 signal NaechsterZustand : ZUSTAENDE; AktuellerZustand <= INITIERUNG;

10 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK architecture (1/2) 01 architecture Variante1 of Demo is 02 signal temp: std logic; 03 signal Ack2: std logic; 04 begin 05 Ack <= temp AND NOT reset; 06 temp <= Adresse(0) OR Adresse(1); version2: process(temp, reset) 09 begin 10 if temp= 0 then 11 Ack2 <= 0 12 elsif reset= 1 then 13 Ack2 <= 0 14 else 15 Ack2 <= 1 16 end if; 17 end process version2; 18 end architecture Variante1; Signale (= Variablen ) konkurrente Beschreibungen sequenzielle Beschreibungen

11 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK architecture (2/2) 01 architecture Version1 of Demo2 is 02 signal zaehler: Integer; 03 begin C <= 1 when zaehler<6 else 06 0 when zaehler<13 else 07 1 ; 08 Beispiel case: process(zaehler, A, B) 09 begin 10 case zaehler is 11 when 0 => Ack <= 0 ; 12 when 23 => Ack <= A; 13 when 2 => Ack <= B; 14 when others => Ack <= 1 ; 15 end case; 16 end process Beispiel case; 17 end architecture Version1; 18 when (= if... then... ) case- Anweisung

12 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Komponenten wiederverwenden (1/3) entity VollAdd is 03 port( 04 A, B : in std logic; 05 C in : in std logic; 06 Sum : out std logic; 07 C out: out std logic 08 ); 09 end VollAdd; architecture Version1 of VollAdd is 12 signal AxorB : std logic; 13 begin 14 AxorB <= A XOR B; 16 Sum <= AxorB XOR C in; 17 C out <= (A AND B) OR (AxorB AND C in); 18 end architecture Version1;

13 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Komponenten wiederverwenden (2/3) 01 entity TwoAdd is 02 port( 03 ZahlA, ZahlB : in std logic vector(1 downto 0); 04 Summe: out std logic vector(2 downto 0) 05 ); 06 end TwoAdd; architecture Version1 of TwoAdd is 09 component VollAdd is 10 port( 11 A, B : in std logic; 12 C in : in std logic; 13 Sum : out std logic; 14 C out: out std logic 15 ); 16 end component VollAdd;

14 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Komponenten wiederverwenden (2/3) architecture Version1 of TwoAdd is 09 component VollAdd is 10 port( 11 A, B : in std logic; 12 C in : in std logic; 13 Sum : out std logic; 14 C out: out std logic 15 ); 16 end component VollAdd; 17 signal Carry : std logic; 18 begin 19 LSB ADD: VollAdd port map(zahla(0), ZahlB(0), 20 0, Summe(0), Carry); 21 MSB ADD: VollAdd port map(zahla(1), ZahlB(1), 22 Carry, Summe(1), Summe(2)); 23 end architecture Version1; 24

15 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Komponenten wiederverwenden (2/3) 08 architecture Version1 of TwoAdd is 09 component VollAdd is 10 port( 11 A, B : in std logic; 12 C in : in std logic; 13 Sum : out std logic; 14 C out: out std logic 15 ); 16 end component VollAdd; 17 signal Carry : std logic; 18 begin 19 LSB ADD: VollAdd port map( 20 A => ZahlA(0), 21 B => ZahlB(0), 22 C in => 0, 23 C out => Carry, 24 Sum => Summe(0) 25 );

16 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Komponenten wiederverwenden (3/3) 01 entity MultiAdd is 02 port( 03 ZahlA, ZahlB : in std logic vector(31 downto 0); 04 Summe: out std logic vector(32 downto 0) 05 ); 06 end TwoAdd; architecture Version1 of MultiAdd is 09 component VollAdd is 10 port( 11 A, B : in std logic; 12 C in : in std logic; 13 Sum : out std logic; 14 C out: out std logic 15 ); 16 end component VollAdd;

17 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Komponenten wiederverwenden (3/3) 08 architecture Version1 of MultiAdd is 09 component VollAdd is 10 port( 11 A, B : in std logic; 12 C in : in std logic; 13 Sum : out std logic; 14 C out: out std logic 15 ); 16 end component VollAdd; 17 signal Carry in : std logic vector(32 downto 0); 18 begin 19 Addierer: for I in 0 to 31 generate 20 ADD I: VollAdd port map( 21 ZahlA(I), ZahlB(I), 22 Carry in(i), Summe(I), Carry in(i+1)); 23 end generate Addierer; 24 Carry in(0) <= 0 ; Summe(32) <= Carry in(32); 25 end architecture Version1;

18 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Arithmetik in VHDL Variante 1 : Wie in anderen Sprachen signal int1, int2, int3: Integer range 0 to ; 06 signal databus: std logic vector(15 downto 0); int3 <= int1 + int2; databus <= CONV STD LOGIC VECTOR(int3, 16);

19 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Arithmetik in VHDL Variante 2 : Optimierte Implementierung signal int1bus, int2bus, int3bus: std logic vector(16 downto 0); 06 signal databus: std logic vector(15 downto 0); Addierer: LPM ADD port map( 09 A => int1bus, 10 B => int2bus, 11 Sum => int3bus, 12 ); databus <= int3bus(15 downto 0);

20 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Speicher Variante 1: RS-FF Strukturbeschreibung entity RSFF is 05 nr, ns : in bit; 06 Q, nq : out bit; 07 end entity RSFF; architecture V1 of RSFF is 10 signal nand1, nand2: bit; 11 begin 12 nand1 <= NOT (ns AND nand2); 13 nand2 <= NOT (nr AND nand1); 14 Q <= nand1; 15 nq <= nand2; 16 end V1; 17 18

21 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Speicher Variante 2: RS-FF Verhaltensbeschreibung entity RSFF is 04 nr, ns : in bit; 05 Q, nq : out bit; 06 end entity RSFF; architecture V2 of RSFF is 09 begin 10 Q nq: process(ns, nr) 11 begin 12 if ns = 0 then 13 Q <= 1 ; nq <= 0 ; 14 elsif nr = 0 then 15 Q <= 0 ; nq <= 1 ; 16 end if; 17 end process; 18 end V2;

22 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Speicher Variante 3: D-FF Verhaltensbeschreibung entity DFF is 04 D, CLK, nreset : in bit; 05 Q, nq : out bit; 06 end entity DFF; architecture V1 of DFF is 09 begin 10 Q nq: process(nreset, CLK, D) 11 begin 12 if nreset = 0 then 13 Q <= 0 ; nq <= 1 ; 14 elsif CLK event AND (CLK= 1 ) then 15 Q <= D; nq <= NOT D; 16 end if; 17 end process; 18 end V1;

23 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Speicher Variante 4: D-FF Verhaltensbeschreibung II entity DFF is 04 D, CLK, nreset : in bit; 05 Q, nq : out bit; 06 end entity DFF; architecture V2 of DFF is 09 begin 10 Q nq: process(nreset, CLK, D) 11 begin 12 if nreset = 0 then 13 Q <= 0 ; nq <= 1 ; 14 elsif rising edge(clk) then 15 Q <= D; nq <= NOT D; 16 end if; 17 end process; 18 end V2;

24 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Beispiel Cola-Automat Akzeptiert werden sollen: 1 DM-, 2 DM- und 5 DM-Stücke Bei Erreichen von 5 DM soll eine Flasche Cola (0.2l) ausgegeben werden

25 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Beispiel Cola-Automat in VHDL entity ColaAutomat is 04 port( 05 nreset : in std logic; 06 CLK : in std logic; 07 Muenze : in std logic; 08 Wert : in std logic vector(1 downto 0); 09 Ausgabe: out std logic; 10 ); 11 end entity ColaAutomat; architecture TolleFassung1 of ColaAutomat is 14 type ZUSTAENDE is (WARTE, DM1, DM2, DM3, DM4, AUSWURF); 15 constant MUENZE1DM : std logic vector(1 downto 0) := 00 ; 16 constant MUENZE2DM : std logic vector(1 downto 0) := 01 ; 17 constant MUENZE5DM : std logic vector(1 downto 0) := 10 ; 18...

26 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Beispiel 13 architecture TolleFassung1 of ColaAutomat is 14 type ZUSTAENDE is (WARTE, DM1, DM2, DM3, DM4, AUSWURF); 15 constant MUENZE1DM : std logic vector(1 downto 0) := 00 ; 16 constant MUENZE2DM : std logic vector(1 downto 0) := 01 ; 17 constant MUENZE5DM : std logic vector(1 downto 0) := 10 ; 18 signal ZustandAktuell : ZUSTAENDE; 19 signal NeuerZustand : ZUSTAENDE; 20 begin Globale, zentrale Zuweisungen (hier nicht) Zustandsspeicher Zustandsuebergangsfunktion (hier kombiniert mit Ausgabefunktion) end architecture TolleFassung1; 128

27 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Beispiel Zustandsspeicher 25 Zustandsspeicher: process(nreset, CLK, NeuerZustand) 26 begin 27 if nreset= 0 then 28 ZustandAktuell <= WARTE; 29 elsif rising edge(clk) then 30 ZustandAktuell <= NeuerZustand; 31 end if; 32 end process Zustandsspeicher; Zustandsuebergangsfunktion (hier kombiniert mit Ausgabefunktion) end architecture TolleFassung1;

28 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Beispiel Zustandsuebergangsfunktion (hier kombiniert mit Ausgabefunktion) 36 Funktion: process(zustandaktuell, Muenze, Wert) 37 begin 38 case ZustandAktuell is 39 when WARTE => when DM1 => when DM4=> when AUSWURF=> end case; 125 end process Funktion; end architecture TolleFassung1; 128

29 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Beispiel 38 case ZustandAktuell is 39 when WARTE => 40 if Muenze= 1 then 41 case Wert is 42 when MUENZE1DM => 43 NeuerZustand <= DM1; 44 when MUENZE2DM => 45 NeuerZustand <= DM2; 46 when MUENZE5DM => 47 NeuerZustand <= AUSWURF; 48 end case; 49 else 50 NeuerZustand <= ZustandAktuell; 51 end if; 52 Ausgabe <= 0 ; 53 when DM1 => end architecture TolleFassung1;

30 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Beispiel 53 when DM1 => 54 if Muenze= 1 then 55 case Wert is 56 when MUENZE1DM => 57 NeuerZustand <= DM2; 58 Ausgabe <= 0 ; 59 when MUENZE2DM => 60 NeuerZustand <= DM3; 61 Ausgabe <= 0 ; 62 when MUENZE5DM => 63 NeuerZustand <= DM1; 64 Ausgabe <= 1 ; 65 end case; 66 else 67 NeuerZustand <= ZustandAktuell; 68 Ausgabe <= 0 ; 69 end if; 70 when DM2 =>

31 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Beispiel 70 when DM2 => 71 if Muenze= 1 then 72 case Wert is 73 when MUENZE1DM => 74 NeuerZustand <= DM3; 75 Ausgabe <= 0 ; 76 when MUENZE2DM => 77 NeuerZustand <= DM4; 78 Ausgabe <= 0 ; 79 when MUENZE5DM => 80 NeuerZustand <= DM2; 81 Ausgabe <= 1 ; 82 end case; 83 else 84 NeuerZustand <= ZustandAktuell; 85 Ausgabe <= 0 ; 86 end if; 87 when DM3 =>

32 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Beispiel 87 when DM3 => 88 if Muenze= 1 then 89 case Wert is 90 when MUENZE1DM => 91 NeuerZustand <= DM4; 92 Ausgabe <= 0 ; 93 when MUENZE2DM => 94 NeuerZustand <= AUSWURF; 95 Ausgabe <= 0 ; 96 when MUENZE5DM => 97 NeuerZustand <= DM3; 98 Ausgabe <= 1 ; 99 end case; 100 else 101 NeuerZustand <= ZustandAktuell; 102 Ausgabe <= 0 ; 103 end if; 104 when DM4 =>

33 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Beispiel 104 when DM4 => 105 if Muenze= 1 then 106 case Wert is 107 when MUENZE1DM => 108 NeuerZustand <= AUSWURF; 109 Ausgabe <= 0 ; 110 when MUENZE2DM => 111 NeuerZustand <= DM1; 112 Ausgabe <= 1 ; 113 when MUENZE5DM => 114 NeuerZustand <= DM4; 115 Ausgabe <= 1 ; 116 end case; 117 else 118 NeuerZustand <= ZustandAktuell; 119 Ausgabe <= 0 ; 120 end if; 121 when AUSWURF =>

34 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK when AUSWURF => 122 NeuerZustand <= WARTE; 123 Ausgabe <= 1 ; 124 end case; 125 end process Funktion; end architecture TolleFassung1; Beispiel

35 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Ausblick Zum Beispiel: VHDL zum Test in Simulationen Testbench ist eine entity, die die zu testende Baugruppe (entity) umgibt An den Ausgängen der Testbench werden Stimuli angelegt (verbunden mit den Eingängen des Prüflings) An den Eingängen der Testbench (Ausgänge Prüfling) können Signalverläufe analysiert mitgeschrieben und werden

36 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Ausblick Ausschnitt VHDL-Testbench Takt: process 05 begin 06 Clk <= not Clk after 10ns; 07 end process; ROM: process -- (AddrBus) 10 begin 11 wait for 7.5 ns; 12 case AddrBus is 13 when 0000 => DataBus <= ; 14 when 0001 => DataBus <= ; wait on AddrBus; 17 end process; 18

37 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK Literatur Andreas Mäder: VHDL Kompakt Lee: Digital system design using VHDL Chang: Digital design and modeling with VHDL and synthesis

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

1 Hardwareentwurf. 1.1 Grundlagen

1 Hardwareentwurf. 1.1 Grundlagen 1 Hardwareentwurf 1.1 Grundlagen POSITIVE natürliche Zahlen N NATURAL N 0 INTEGER ganze Zahlen Z REAL reelle Zahlen R BOOLEAN (true, false), (low, high) BIT ( 0, 1 ) CHARACTER (..., A, B,..., a, b,...,

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Hardwarepraktikum WS05/06

Hardwarepraktikum WS05/06 Hardwarepraktikum WS5/6 Sven Eckelmann 2..26 Inhaltsverzeichnis Versuch Komb. NANDNANDRealisierung.......................2 NORNORRealisierung.........................3 Schaltung................................

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 3 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 3: Themen Hardware-Beschreibungssprachen Syntax von VHDL Simulation Synthese Testrahmen

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

1 Grundlagen von VHDL

1 Grundlagen von VHDL TI 2 - Zusammenfassung 1 1 Grundlagen von VHDL entity Die entity deklariert die externe Schnittstelle. Es werden die elektrischen Signale (PORTS) und die zahlenmäßigen (GENERICS) Signale beschrieben. Jeder

Mehr

Einführung in ModelSim

Einführung in ModelSim Einführung in Version 0.5 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum Verantwortlicher Änderungsgrund

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

VHDL-Syntax F. Schubert 09/08. Prof. Dr. F. Schubert. Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX. 09/2008 Sbr

VHDL-Syntax F. Schubert 09/08. Prof. Dr. F. Schubert. Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX. 09/2008 Sbr Prof. Dr. F. Schubert Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX 09/2008 Sbr Erläuterungen: Groß geschrieben: Selbstdefinierte Namen z.b. VADD, CARRY_IN, TD Klein geschrieben und fett

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009 Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer 19. Februar 2009 Fachbereich 12: Informatik und Mathematik Institut für

Mehr

VHDL. D. Neuhäuser, G. Grune (W. Koch) Einleitung. Entity. Architecture. Sequenziell Strukturell. Packages

VHDL. D. Neuhäuser, G. Grune (W. Koch) Einleitung. Entity. Architecture. Sequenziell Strukturell. Packages Beschreibung von Rechensystemen auf 6 Ebenen Algorithmische Ebene spezifiziert den Algorithmus zur Lösung eines Entwurfsproblems Dezember 2012 PMS-Ebene (Processor, Memory, Switch) beschreibt Rechner grob

Mehr

Rapide An Event-Based Architecture Definition Language

Rapide An Event-Based Architecture Definition Language Rapide An Event-Based Architecture Definition Language Ralf Bettentrup Seminar: Architekturbeschreibungssprachen Wozu Rapide? Computer mit Modem Provider Broker Client Broker PC Prov 1 Client 1 RS-232

Mehr

VHDL Syntax V1.0. F. Wolf

VHDL Syntax V1.0. F. Wolf VHDL Syntax V1.0 Graz, Februar 2002 Inhaltsverzeichnis 1 Einführung 3 2 Kurze Beschreibung der Design-Einheiten 4 2.1 Schnittstellenbeschreibung (Entity) 4 2.2 Architektur (Architecture) 5 2.3 Konfiguration

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt?

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt? Was ist VHDL? V: very high speed integrated circuit HDL hardware description language mächtige HW-Beschreibungssprache Wozu hat man VHDL entwickelt? weitere HDLs? - HW beschreiben, beschleunigen - Simulation

Mehr

Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese

Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese ZÜRCHER HOCHSCHULE FÜR ANGEWANDTE WISSENSCHAFTEN INSTITUTE OF EMBEDDED SYSTEMS Autoren: Hans-Joachim Gelke Letzte Änderung: 24. Februar

Mehr

Wozu dient ein Logikanalysator?

Wozu dient ein Logikanalysator? Wozu dient ein Logikanalysator? Beispiel: Microcontroller Microcontroller kommen vor in Haushaltsgeräten (Waschmaschine,...) in Fahrzeugen (ABS, Motorsteuerung, Radio,...) in Computern (Tastatur, Festplatte,

Mehr

Modelling with SystemC

Modelling with SystemC Modelling with SystemC Andrej Eisfeld May 22, 2011 1 Andrej Eisfeld Modelling with SystemC Inhaltsverzeichnis Grundlagen Die eigene CPU Synthese 2 Andrej Eisfeld Modelling with SystemC Fakten Open Source

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes Verifikation Dr. Wolfgang Günther Verifikation 2 Wozu Verifikation? Produktivität im ASIC Entwurf Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des

Mehr

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I Hardwarepraktikum W 997/98 hemnitz, 8.03.09 Hardwarepraktikum W 997/98 Versuch 4 equentielle ysteme I Jan Horbach, 758 hris Hübsch, 7543 Lars Jordan, 7560 eite Hardwarepraktikum W 997/98 hemnitz, 8.03.09

Mehr

Praktium Systementwurf mit VHDL HDL Design Lab. Lehrstuhl für Entwurfsautomatisierung Technische Universität München

Praktium Systementwurf mit VHDL HDL Design Lab. Lehrstuhl für Entwurfsautomatisierung Technische Universität München Praktium Systementwurf mit VHDL HDL Design Lab Lehrstuhl für Entwurfsautomatisierung Technische Universität München 1 Das Projekt: Verschlüsselung Entschlüsselung 2 Hardware-Emulation Oszillograph Signalgenerator

Mehr

Hardware/Software Co-Design

Hardware/Software Co-Design Hardware/Software Co-Design Kapitel : Logiksynthese und VHDL-Einführung Mario Schölzel Geschichte von VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very-High Speed Integrated Circuits Entwickelt

Mehr

Einführung in den HDL- gestützten Schaltungsentwurf Einführung in VHDL

Einführung in den HDL- gestützten Schaltungsentwurf Einführung in VHDL Einführung in den HDLgestützten Schaltungsentwurf Einführung in VHDL Ablauf des Entwurfs Spezifikation golden specification Funktionale Simulation Testbench Logiksynthese Gate-Level Simulation Formale

Mehr

Das Modul kann thermische oder 3-stufige Aktoren regeln, wie auch vier 0-10 VDC analoge Ausgänge.

Das Modul kann thermische oder 3-stufige Aktoren regeln, wie auch vier 0-10 VDC analoge Ausgänge. Das ist ein I/O Modul für Modbus, das vier Ni1000-LG Eingänge oder vier Digitaleingänge lesen kann. Jeder individuelle Eingang kann so eingestellt werden, das er als analoger oder digitaler Eingang arbeitet.

Mehr

CPLDs. Vom Platinenlayout zum ersten Projekt. Jakob Holderbaum. Erstellt am 9. August 2008. Berufskolleg Olsberg Paul-Oeventrop Str.

CPLDs. Vom Platinenlayout zum ersten Projekt. Jakob Holderbaum. Erstellt am 9. August 2008. Berufskolleg Olsberg Paul-Oeventrop Str. CPLDs Vom Platinenlayout zum ersten Projekt Jakob Holderbaum Erstellt am 9. August 2008 Berufskolleg Olsberg Paul-Oeventrop Str. 7 59939 Olsberg Inhaltsverzeichnis 1 Inhaltsverzeichnis 1 Vorwort 3 2 Programmierbare

Mehr

Kontrollstrukturen, Pseudocode und Modulo-Rechnung

Kontrollstrukturen, Pseudocode und Modulo-Rechnung Kontrollstrukturen, Pseudocode und Modulo-Rechnung CoMa-Übung III TU Berlin 29.10.2012 CoMa-Übung III (TU Berlin) Kontrollstrukturen, Pseudocode und Modulo-Rechnung 29.10.2012 1 / 1 Themen der Übung 1

Mehr

Verifikation. ECS Group, TU Wien

Verifikation. ECS Group, TU Wien Verifikation ECS Group, TU Wien Überblick Abstraktionsebenen Testbench Modelsim Überblick Hardware Modeling Hardware Specification Functional Specification High Level Requirements Detailed Design Description

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Effizienz im Vor-Ort-Service

Effizienz im Vor-Ort-Service Installation: Anleitung SatWork Integrierte Auftragsabwicklung & -Disposition Februar 2012 Disposition & Auftragsabwicklung Effizienz im Vor-Ort-Service Disclaimer Vertraulichkeit Der Inhalt dieses Dokuments

Mehr

Extracting Business Rules from PL/SQL-Code

Extracting Business Rules from PL/SQL-Code Extracting Business Rules from PL/SQL-Code Version 7, 13.07.03 Michael Rabben Knowledge Engineer Semantec GmbH, Germany Why? Where are the business rules? Business Rules are already hidden as logic in

Mehr

Erfahrungen mit VHDL-AMS bei der Simulation heterogener Systeme

Erfahrungen mit VHDL-AMS bei der Simulation heterogener Systeme Erfahrungen mit VHDL-AMS bei der Simulation heterogener Systeme Peter Trappe Joachim Haase Peter Schwarz Wolfgang Vermeiren Inhalt Einleitung - Was ist VHDL-AMS? Beschreibung digitaler Systeme Beschreibung

Mehr

Hardware Synthese mit VHDL

Hardware Synthese mit VHDL Hardware Synthese mit VHDL Thomas Schanz, Christoph Tenzer IAAT - Universität Tübingen September 2004 Vorwort Dieses Dokument entstand am Rande der wissenschaftlichen Arbeit der Verfasser am IAAT der

Mehr

8 Zugriffstypen ( Zeiger )

8 Zugriffstypen ( Zeiger ) 8 Zugriffstypen ( Zeiger ) 1. Zugriffstypen, die auf Daten in einem Storage Pool zeigen Heap. 2. Allgemeine Zugriffstypen, die auf (mehr oder weniger) beliebige Daten zeigen. 3. Zugriffsparameter für Unterprogramme

Mehr

1.4.12 Sin-Funktion vgl. Cos-Funktion

1.4.12 Sin-Funktion vgl. Cos-Funktion .4. Sgn-Funktion Informatik. Semester 36 36.4.2 Sin-Funktion vgl. Cos-Funktion Informatik. Semester 37 37 .4.3 Sqr-Funktion Informatik. Semester 38 38.4.4 Tan-Funktion Informatik. Semester 39 39 .5 Konstanten

Mehr

Systembeschreibung und Entwurf

Systembeschreibung und Entwurf Systembeschreibung und Entwurf Systemspezifikation mit Hardwarebeschreibungssprachen Bernhard Hoppe Thomas Schumann Hochschule Darmstadt University of Applied Sciences Inhaltsverzeichnis Inhaltsverzeichnis

Mehr

Signalzuweisungen können nebenläufig sein oder als sequentielle Anweisungen innerhalb von Prozessen, Funktionen oder Prozeduren stehen.

Signalzuweisungen können nebenläufig sein oder als sequentielle Anweisungen innerhalb von Prozessen, Funktionen oder Prozeduren stehen. 6 Verhaltensmodellierung 6.3 Signalzuweisungen und Verzögerungsmodelle Die wohl wichtigste Anweisung in VHDL ist die Zuweisung von neuen Werten an Signale. Signale dienen als Informationsträger innerhalb

Mehr

Übungsaufgaben mit Lösungen zur 6. Auflage

Übungsaufgaben mit Lösungen zur 6. Auflage Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen zur 6. uflage Zu den einzelnen Kapiteln sind Übungsaufgaben angegeben. Einige enthalten die Lösung in Kurzform. Sie finden die ausführlichen Musterlösungen

Mehr

Im Innersten besteht jede digitale Hardwareschaltung aus kombinatorischer Logik und speichernden Elementen.

Im Innersten besteht jede digitale Hardwareschaltung aus kombinatorischer Logik und speichernden Elementen. VHDL Wikibooks.org 16. Februar 2012 Inhaltsverzeichnis 0.1 EINFÜHRUNG.......................................... 1 0.2 BASIS-KONSTRUKTE...................................... 5 0.3 OPERATOR PRECEDENCE...................................

Mehr

Mikrocomputertechnik. Einadressmaschine

Mikrocomputertechnik. Einadressmaschine technik Einadressmaschine Vorlesung 2. Mikroprozessoren Einführung Entwicklungsgeschichte Mikroprozessor als universeller Baustein Struktur Architektur mit Akku ( Nerdi) FH Augsburg, Fakultät für Elektrotechnik

Mehr

8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382

8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382 8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382 Der MAX5382 ist ein 8 Bit DA Wandler im SOT23 Gehäuse. Der MAX5380 besitzt eine interne Referenzspannung von 2 V, der MAX5381 von 4 Volt und der verwendete

Mehr

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung VHDL Kurzbeschreibung A. Mäder Universität Hamburg Fachbereich Informatik Arbeitsbereich Technische Grundlagen der Informatik Inhaltsverzeichnis 1 Konzepte von VHDL 1 1.1 Grundkonzepte.................................

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Embedded OS-9 auf RISC-Prozessoren von Motorola

Embedded OS-9 auf RISC-Prozessoren von Motorola Firmenporträt BALS Werner BALS Hardware & Software Wielinger Str. 20 D-82340 Feldafing Tel.:+49 8157 900491 Fax:+49 8157 900492 email: wernerb@cube.net OS-9-Systemlösungen für embedded-applikationen OS-9-Systemportierungen

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

miditech 4merge 4-fach MIDI Merger mit :

miditech 4merge 4-fach MIDI Merger mit : miditech 4merge 4-fach MIDI Merger mit : 4 x MIDI Input Port, 4 LEDs für MIDI In Signale 1 x MIDI Output Port MIDI USB Port, auch für USB Power Adapter Power LED und LOGO LEDs Hochwertiges Aluminium Gehäuse

Mehr

Funktionen Häufig müssen bestimmte Operationen in einem Programm mehrmals ausgeführt werden. Schlechte Lösung: Gute Lösung:

Funktionen Häufig müssen bestimmte Operationen in einem Programm mehrmals ausgeführt werden. Schlechte Lösung: Gute Lösung: Funktionen Häufig müssen bestimmte Operationen in einem Programm mehrmals ausgeführt werden. Schlechte Lösung: Der Sourcecode wird an den entsprechenden Stellen im Programm wiederholt Programm wird lang

Mehr

Python Programmierung. Dipl.-Ing.(FH) Volker Schepper

Python Programmierung. Dipl.-Ing.(FH) Volker Schepper Python Programmierung Kontaktdaten Homepage: http://wwwlehre.dhbw-stuttgart.de/~schepper/ Email: Volker. Schepper [A@T] yahoo.de Vorlesung Skriptsprachen Vorlesung: 06.03.2013 13.03.2013 20.03.2013 27.03.2013

Mehr

Crashkurs VHDL FH München, FB 06

Crashkurs VHDL FH München, FB 06 Crashkurs VHDL FH München, FB 06 1 Einleitung... 2 2 Signale, Typen und Vektoren... 3 2.1 Konventionen in VHDL... 4 2.1.1 Namensregeln... 4 2.1.2 Kommentare... 4 2.1.3 Zuweisungen... 4 2.2 Typologie...

Mehr

SPS. Nockenschaltwerk- Software

SPS. Nockenschaltwerk- Software SPS Nockenschaltwerk- Software für S5 oder S7 Digitronic Steinbeisstraße 3 D - 72636 Frickenhausen Tel. (+49)7022/40590-0 Fax -10 Auf der Langwies 1 D - 65510 Hünstetten-Wallbach Tel.(+49)6126/9453-0 Fax

Mehr

Stefan Mieth, AIT GmbH & Co. KG

Stefan Mieth, AIT GmbH & Co. KG Stefan Mieth, AIT GmbH & Co KG As a requirements engineer I want to use the TFS 12032015; 16:30 17:30 Requirements Engineering ist neben Testing wohl der Dauerbrenner, wenn es um gerne vernachlässigte

Mehr

16-Segment- Dekoder (mit CPLD)

16-Segment- Dekoder (mit CPLD) 16-Segment- Dekoder (mit CPLD) Autor: Buchgeher Stefan Letzte Bearbeitung: 20. Juli 2005 Inhaltsverzeichnis 1. EINLEITUNG...5 2. WAHRHEITSTABELLE DES 16-SEGMENT-DEKODERS...6 3. UMSETZUNG DER WAHRHEITSTABELLE

Mehr

Distributed Computing Group

Distributed Computing Group JAVA TUTORIAL Distributed Computing Group Vernetzte Systeme - SS 06 Übersicht Warum Java? Interoperabilität grosse und gut dokumentierte Library weit verbreitet Syntax sehr nahe an C Erfahrung: Java wird

Mehr

VHDL vs Verilog. Hochschule Bremen. RST-L Ausarbeitung. Anke Kamp Frank Schmidt Florian Thiem

VHDL vs Verilog. Hochschule Bremen. RST-L Ausarbeitung. Anke Kamp Frank Schmidt Florian Thiem Hochschule Bremen Fachbereich Elektrotechnik und Informatik Flughafenallee 10 28199 Bremen WS07/08 RST-L Ausarbeitung VHDL vs Verilog Anke Kamp Frank Schmidt Florian Thiem Inhaltsverzeichnis 1 Einleitung

Mehr

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Mentor User Conference 2004 - Würzburg Michael Pichler, m.pichler@zma.ch Dino Zardet, d.zardet@zma.ch Prof. Karl Schenk, k.schenk@zma.ch

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Vorlesung und Übungen Ausgabe 0.4, 18.12.2013 Autor: Stephan Rupp S. Rupp, 2013 T2ELN3602, T2ELA2652, T2MT3162 1/101 S. Rupp, 2013 T2ELN3602, T2ELA2652, T2MT3162 2/101 Inhaltsverzeichnis

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

6 Systematisches Testen von Programmen

6 Systematisches Testen von Programmen 6 Systematisches Testen von Programmen Testen Untersuchung des Source-Codes nach Fehlern und Anomalien Stefan Lucks, Software-Entwicklung für Sichere Systeme SS 04, Kapitel 6 p.1/24 Untersuchung des Source-Codes

Mehr

VHDL Post-Route Simulation mit XILINX-FPGA s

VHDL Post-Route Simulation mit XILINX-FPGA s Dipl.-Ing. T. Wurlitzer (a3url@et.htk-leipzig.de) Prof. Dr.-Ing. habil. W. Reinhold (reinhold@et.htk-leipzig.de) VHDL Post-Route Simulation mit XILINX-FPGA s I. VHDL als Hardarebeschreibungssprache ist

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

APEX URLs Suchmaschienen- und Benutzerfreundlich

APEX URLs Suchmaschienen- und Benutzerfreundlich APEX URLs Suchmaschienen- und Benutzerfreundlich Christian Rokitta themes4apex Leusden (NL) Schlüsselworte APEX, URL, Parameter, Suchmaschinen, PLSQL, Google Analytics, Restful Services Einleitung Im Vergleich

Mehr

Patientenübergreifende Entscheidungsunterstützung durch grafische Dashboards als Anwendung der Arden-Syntax

Patientenübergreifende Entscheidungsunterstützung durch grafische Dashboards als Anwendung der Arden-Syntax GMDS - ConHIT Satellitenworkshop - 13.4.2015 Stefan Kraus Patientenübergreifende Entscheidungsunterstützung durch grafische Dashboards als Anwendung der Arden-Syntax Lehrstuhl für medizinische Informatik

Mehr

VHDL Design Guidelines Interstaatliche Hochschule für Technik Buchs

VHDL Design Guidelines Interstaatliche Hochschule für Technik Buchs VHDL Design Guidelines Interstaatliche Hochschule für Technik Buchs Version 2.0.3 Beta, 20. Februar 2012 Inhaltsverzeichnis Inhaltsverzeichnis... 1 Revisionen... 2 Quickstart... 3 Guidelines Stufe I...

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Grundlagen C und C++ Einheit 03: Grundlagen in C++ Lorenz Schauer Lehrstuhl für Mobile und Verteilte Systeme

Grundlagen C und C++ Einheit 03: Grundlagen in C++ Lorenz Schauer Lehrstuhl für Mobile und Verteilte Systeme Grundlagen C und C++ Einheit 03: Grundlagen in C++ Lorenz Schauer Lehrstuhl für Mobile und Verteilte Systeme Teil 1: Wiederholung C Heutige Agenda Nutzereingaben verarbeiten Teil 2: Grundlagen in C++ Erstes

Mehr

WS 2010/11 Datenbanksysteme Fr 15:15 16:45 R 1.008. Vorlesung #6. SQL (Teil 4)

WS 2010/11 Datenbanksysteme Fr 15:15 16:45 R 1.008. Vorlesung #6. SQL (Teil 4) Vorlesung #6 SQL (Teil 4) Fahrplan Besprechung der Übungsaufgaben Einschub: Self Joins (relevant fürs Praktikum) Dynamische Intergritätsbedingungen, das Trigger - Konzept von Oracle Prozedurale Erweiterungen,

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

C allgemein. C wurde unter und für Unix entwickelt. Vorläufer sind BCPL und B.

C allgemein. C wurde unter und für Unix entwickelt. Vorläufer sind BCPL und B. C-Crash-Kurs Eine kurze, keinesfalls erschöpfende Einführung in die Sprache C für Studierende, die eine strukturierte imperative Programmiersprache beherrschen. Die Vorstellung erfolgt am Beispiel von

Mehr

https://portal.microsoftonline.com

https://portal.microsoftonline.com Sie haben nun Office über Office365 bezogen. Ihr Account wird in Kürze in dem Office365 Portal angelegt. Anschließend können Sie, wie unten beschrieben, die Software beziehen. Congratulations, you have

Mehr

Multimedia im Netz Wintersemester 2011/12

Multimedia im Netz Wintersemester 2011/12 Multimedia im Netz Wintersemester 2011/12 Übung 01 Betreuer: Verantwortlicher Professor: Sebastian Löhmann Prof. Dr. Heinrich Hussmann Organisatorisches 26.10.2011 MMN Übung 01 2 Inhalte der Übungen Vertiefung

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 5 Rechnerarithmetik

Mehr