Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Größe: px
Ab Seite anzeigen:

Download "Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)"

Transkript

1 Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn Teil : (GTI) Die Klausur besteht aus einem Teil (GTI) und einem Teil 2 (GRA). Die Bearbeitungsdauer beträgt für alle Studenten 80 Minuten. Es sind alle 2 Aufgaben zu bearbeiten. Es sind keine Hilfsmittel zugelassen. Verwenden Sie kein eigenes Papier. Bei Bedarf bekommen Sie Papier bei der Klausuraufsicht. Schreiben Sie auf jedes Blatt (auch auf das Konzeptpapier) in Blockschrift Ihren Namen und Ihre Matrikelnummer. Bei mehreren präsentierten Lösungen wird die Aufgabe nicht gewertet! Streichen Sie daher bei Angabe mehrerer Lösungsansätze die nicht zu bewertenden Lösungen durch! Abschreiben und abschreiben lassen oder Hilfe Dritter führt zum Nichtbestehen der Klausur. Nachname: Vorname: Aufkleber Matrikelnummer: Studiengang: Aufgabe Punkte Erreicht

2 GTI/GRA Aufgabe (Multiple Choice) [5 Punkte] Bei den folgenden Fragen können keine, eine oder mehrere Antworten richtig sein. Kreuzen Sie die richtigen Antworten deutlich an. (a) Analoge Signale sind... wert-kontinuierlich und zeit-diskret wert-diskret und zeit-diskret wert-kontinuierlich und zeit-kontinuierlich wert-diskret und zeit-kontinuierlich (b) Wieviele verschiedene Logikfunktionen von 3 Variablen lassen sich bilden? 2 3 = 8 2 (2 3) = = 256 (c) Welche Aussagen treffen für VHDL zu? VHDL ist eine stark-typisierte Sprache. Signale müssen ausserhalb von Prozessen deklariert werden. Auf ein Signal darf nur einmal ein Wert zugewiesen werden. VHDL bietet die Möglichkeit, Verzögerungszeiten zu modellieren. Seite 2 / 24

3 NAME: Matrikelnummer: (d) Welche Vorteile haben Busse gegenüber Punkt-zu-Punkt Verbindungen? neue Komponenten kann man leichter hinzufügen geringerer Hardwareaufwand ausfallsicherer höhere Taktraten (e) Gleitkommazahlen werden normalisiert dargestellt, um... die Genauigkeit zu erhöhen eindeutige Zahlendarstellungen zu bekommen auch die Null darstellen zu können Hardware zu sparen Seite 3 / 24

4 GTI/GRA Aufgabe 2 (Automatenentwurf in VHDL) [20 Punkte] Abbildung zeigt einen endlichen Automaten zur Steuerung einer Fußgängerampel. Nach einem reset ist der Automat im Zustand IDLE. Drückt ein Fußgänger den Taster (button) um Grün anzufordern gelangt der Automat in den Zustand WAITING und nach einer Wartezeit von 200 Taktzyklen automatisch in den Zustand WALK. Nach einer weiteren Wartezeit von 400 Taktzyklen wechselt der Automat in den Anfangszustand. Je nach Zustand zeigt die Ampel entweder Rot, Rot mit dem Zusatz Signal kommt oder Grün an. Dieser Farbwert wird von der Entity FSM als Vektor color ausgegeben. Die Codierung hierzu entnehmen Sie der folgenden Tabelle: Signal Codierung Rot 0 Rot+ Signal kommt Grün 00 Abbildung : Zustandsautomat zur Steuerung der Fußgängerampel. Vervollständigen Sie (a) die Übergangsfunktion des Zustandsautomaten in Abbildung, und (b) das umseitige Code-Fragment entsprechend der Spezifikation. Seite 4 / 24

5 NAME: Matrikelnummer: VHDL Code-Fragment e n t i t y FSM i s port ( c lk, r e s e t, button : ; c o l o r : ) ; end e n t i t y FSM; a r c h i t e c t u r e b e h a v i o r a l of FSM i s type t s t a t e i s ( IDLE, WAITING, WALK) ; s i g n a l c u r r e n t s t a t e : t s t a t e ; s i g n a l c o u n t e r : ; begin f s m p r o c : process ( ) begin i f r e s e t = then c u r r e n t s t a t e <= ; e l s i f c lk e v e n t and c l k = then case c u r r e n t s t a t e i s when => when => when => Seite 5 / 24

6 GTI/GRA end case ; end i f ; end process ; c o l o r <= when e l s e when e l s e end a r c h i t e c t u r e b e h a v i o r a l ; ; Abbildung 2: Zustandsautomat zur Steuerung der Fußgängerampel (Ersatz). VHDL Code-Fragment (Ersatz) e n t i t y FSM i s port ( c lk, r e s e t, button : ; c o l o r : ) ; end e n t i t y FSM; a r c h i t e c t u r e b e h a v i o r a l of FSM i s type t s t a t e i s ( IDLE, WAITING, WALK) ; s i g n a l c u r r e n t s t a t e : t s t a t e ; s i g n a l c o u n t e r : ; begin f s m p r o c : process ( ) begin i f r e s e t = then c u r r e n t s t a t e <= ; e l s i f c lk e v e n t and c l k = then case c u r r e n t s t a t e i s Seite 6 / 24

7 NAME: Matrikelnummer: when => when => when => end case ; end i f ; end process ; c o l o r <= when e l s e when e l s e end a r c h i t e c t u r e b e h a v i o r a l ; ; Seite 7 / 24

8 GTI/GRA Aufgabe 3 (Eine Alarmanlage) [5 Punkte] In einem Geschäft sollen drei Schaufenster mit Hilfe von Sensoren mit einer Alarmanlage gesichert werden. Sollte eines der Fenster zerbrochen werden, soll ein Alarm ausgelöst werden (Alarm = ). Die Alarmanlage hat einen Hauptschalter. Ist dieser auf Aus, ist die gesamte Alarmanlage ausgeschaltet und löst keinen Alarm mehr aus (Alarm = 0). Im Folgenden werden die Sensoren, die prüfen, ob ein Fenster zerbrochen wurde, mit F, F 2 und F 3 und der Hauptschalter mit HS abgekürzt. Sollte ein Fenster zerbrochen werden, melden die Sensoren eine. (a) Füllen Sie die Wahrheitstabelle aus. HS F F 2 F 3 Alarm Seite 8 / 24

9 NAME: Matrikelnummer: (b) Ermitteln Sie die minimale SOP-Form der Alarmanlage mit Hilfe eines Karnaugh- Diagrammes. Karnaugh-Diagramm: Ersatzdiagramm: (Ungültige Lösung streichen!) F 0 0 F 0 0 F 2 0 F3 F 2 0 F HS 0 0 HS 0 Minimale SOP-Form: (c) Die Schaltung soll mit 2-NOR Gattern (siehe Abbildung 3) realisiert werden. Formen Sie die minimale SOP-Form so um, dass nur noch 2-NOR und NOT in der Lösung vorkommen: X X 2 Z Abbildung 3: 2-NOR Gatter 2-NOR/NOT-Form: Seite 9 / 24

10 GTI/GRA (d) Realisieren Sie die entsprechende Schaltung ausschliesslich mit 2-NOR Gattern. F F 2 ALARM F 3 HS Ersatzdiagramm: (Ungültige Lösung streichen!) F F 2 ALARM F 3 HS Seite 0 / 24

11 NAME: Matrikelnummer: Seite / 24

12 GTI/GRA Aufgabe 4 (Boolesche Funktionen) [0 Punkte] Wenn Sie möchten, können Sie zur Lösung dieser Aufgabe die Karnaugh-Diagramme auf dieser und der nächsten Seite zu Hilfe nehmen. Notieren Sie, zu welcher Aufgabe das jeweilige Diagramm gehört und streichen Sie ungültige / nicht verwendete Diagramme durch. (a) Betrachten Sie die folgenden Funktionen. Bei welchen der rechts angegebenen Produktterme handelt es sich um Implikanten der jeweiligen Funktion? Kreuzen Sie die richtigen Antworten deutlich an. (i) z (a, b, c) = a + b c a c b c a b c a b c (ii) z 2 (a, b, c, d) = a c d + a b d + a b c + c d a c b c d b c a b d (b) Betrachten Sie die folgenden Funktionen. Bei welchen der rechts angegebenen Produktterme handelt es sich um Primimplikanten der jeweiligen Funktion? Kreuzen Sie die richtigen Antworten deutlich an. (i) z 3 (a, b, c) = a b + a c + b c a b c a c a c b c (ii) z 4 (a, b, c, d) = a c + c d + a b c d a b c d b d b d a d (c) Nennen Sie alle Primimplikanten der folgenden Funktion: z 5 (a, b, c) = b c + a b + b c + a b c Seite 2 / 24

13 NAME: Matrikelnummer: b b a a c c b b a a c c c c a a b b c d c d a a b b d d Seite 3 / 24

14 GTI/GRA Aufgabe 5 (Sequentielle Addierer/Subtrahierer) [5 Punkte] x i y i z i c i Q D Volladdierer s i CLK b i Q D Vollsubtrahierer e i Abbildung 4: Sequentieller Addierer/Subtrahierer (a) Entwerfen Sie durch Vervollständigung von Abbildung 4 eine sequentielle Schaltung für die Funktion E = X + Y Z, indem Sie die vorgegebenen Elemente und Signale verbinden. (b) Vervollständigen Sie die Automatengraphen für sequentielle Addierer (Abbildung 5) und sequentielle Subtrahierer (Abbildung 6), indem Sie die Übergänge mit Eingaben und Ausgaben (x i y i /s i ) bzw. (x i y i /d i ) beschriften. Seite 4 / 24

15 NAME: Matrikelnummer: / _ / _ / _ c i =0 / _ c i = / _ / _ / / _ Abbildung 5: Automatengraph für sequentielle Addierer / _ / _ / _ b i =0 / _ b i = / _ / _ / / _ Abbildung 6: Automatengraph für sequentielle Subtrahierer (c) Berechnen Sie das Ergebnis E = (e 4,..., e 0 ) der Funktion E = X + Y Z für die Eingaben X = (x 4,..., x 0 ) = 000 2, Y = (y 4,..., y 0 ) = und Z = (z 4,..., z 0 ) = Tragen Sie die Zwischenergebnisse für die einzelnen Taktschritte in eine der untenstehenden Tabellen ein. Streichen Sie nicht verwendete bzw. ungültige Tabellen durch. (Ersatztabelle) Takt Signal x i y i c i 0 s i z i b i 0 e i Takt Signal x i y i c i 0 s i z i b i 0 e i E = (e 4,..., e 0 ) = 2 Seite 5 / 24

16 GTI/GRA (d) Wie muss die von Ihnen entworfene Schaltung modifiziert werden, um die Funktion E = X + Y 2Z zu berechnen? Beschreiben Sie die Modifikation kurz in einem Satz. Seite 6 / 24

17 NAME: Matrikelnummer: Seite 7 / 24

18 GTI/GRA Aufgabe 6 (-aus-k Decoder) [5 Punkte] In der Vorlesung haben Sie den -aus-k Decoder kennengelernt. Ein -aus-k Decoder bildet den Wert, der am Eingang (X) anliegt, auf einen der Ausgänge (Z) ab, falls an EN eine anliegt. Sollte an EN eine 0 anliegen, werden alle Ausgänge auf 0 gesetzt. X n EN -aus-k Decoder k Z Abbildung 7: Blockschaltbild eines -aus-k Decoders (a) Allgemeine Fragen zum -aus-k Decoder: (i) Sei n die Anzahl der Leitungen, die am Eingang X anliegen. Wie viele Ausgänge (Z) besitzt ein -aus-k Decoder (Formel in Abhängigkeit von n angeben)? (ii) Ein -aus-6 Decoder hat 6 Ausgänge. Wieviele Eingangsleitungen muss der Decoder haben? (iii) An einem -aus-8 Decoder liegt am Eingang der Wert (x 2, x, x 0 ) = 0 2 an und die EN -Leitung ist auf gesetzt. Welchen Wert hat der Ausgang? z 7 z 6 z 5 z 4 z 3 z 2 z z 0 Seite 8 / 24

19 NAME: Matrikelnummer: (b) Entwerfen Sie die Schaltung eines -aus-2 Decoders mit Hilfe von zwei 2-AND- Gattern und einem Inverter. Zeichnen Sie die Schaltung in das vorgegebene Diagramm. Ersatzdiagramm: (Ungültige Lösung streichen!) Seite 9 / 24

20 GTI/GRA (c) Entwickeln Sie mit Hilfe von baumartiger Kaskadierung aus -aus-2 Decodern einen -aus-4 Decoder. Ersatzdiagramm: (Ungültige Lösung streichen!) Seite 20 / 24

21 NAME: Matrikelnummer: Konzeptpapier: Falls der Platz unter den einzelnen Aufgaben nicht ausreicht, können Sie diese Seiten für Zwischenrechnungen nutzen. Bitte Lösung und Lösungsweg eindeutig mit der Aufgabennummer markieren! Seite 2 / 24

22 GTI/GRA Konzeptpapier: Falls der Platz unter den einzelnen Aufgaben nicht ausreicht, können Sie diese Seiten für Zwischenrechnungen nutzen. Bitte Lösung und Lösungsweg eindeutig mit der Aufgabennummer markieren! Seite 22 / 24

23 NAME: Matrikelnummer: Konzeptpapier: Falls der Platz unter den einzelnen Aufgaben nicht ausreicht, können Sie diese Seiten für Zwischenrechnungen nutzen. Bitte Lösung und Lösungsweg eindeutig mit der Aufgabennummer markieren! Seite 23 / 24

24 GTI/GRA Konzeptpapier: Falls der Platz unter den einzelnen Aufgaben nicht ausreicht, können Sie diese Seiten für Zwischenrechnungen nutzen. Bitte Lösung und Lösungsweg eindeutig mit der Aufgabennummer markieren! Seite 24 / 24

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 7.8.2 Die Bearbeitungsdauer beträgt für

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO-VON-GUERICKE-UNIVERSITÄT MAGDEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Grundlagen der Informatik Studiengang: Bachelor (CV / CSE / IF / WIF) am: 19. Juli 2008 Bearbeitungszeit:

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Hardware/Software-Codesign

Hardware/Software-Codesign Klausur zur Lehrveranstaltung Hardware/Software-Codesign Dr. Christian Plessl Paderborn Center for Parallel Computing Universität Paderborn 8.10.2009 Die Bearbeitungsdauer beträgt 75 Minuten. Es sind keine

Mehr

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5 Philipps-Universität Marburg Fachbereich Mathematik und Informatik AG Verteilte Systeme http://ds.informatik.uni-marburg.de Prof. Dr. Helmut Dohmann Prof. Dr. Bernd Freisleben Klausur zur Vorlesung Technische

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Übungsblatt 5 (Block B - 1) (17 Punkte)

Übungsblatt 5 (Block B - 1) (17 Punkte) georg.von-der-brueggen [ ] tu-dortmund.de ulrich.gabor [ ] tu-dortmund.de olaf.neugebauer [ ] tu-dortmund.de Übung zur Vorlesung Rechnerstrukturen Wintersemester 27 Übungsblatt 5 (Block B - ) (7 Punkte)

Mehr

Automatisierungstechnik am um 9:00, Bearbeitungszeit: 2 h Prüfer: Prof. Dr.-Ing. Knut Graichen

Automatisierungstechnik am um 9:00, Bearbeitungszeit: 2 h Prüfer: Prof. Dr.-Ing. Knut Graichen Fakultät für Ingenieurwissenschaften und Informatik Institut für Mess-, Regel- und Mikrotechnik Klausur Automatisierungstechnik am 0.0.0 um 9:00, Bearbeitungszeit: h Prüfer: Prof. Dr.-Ing. Knut Graichen

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Computational Engineering I

Computational Engineering I DEPARTMENT INFORMATIK Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Martensstraße 3, 91058 Erlangen 25.01.2016 Probeklausur zu Computational Engineering

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Klausur. Softwareentwurf. 14. Februar 2011 Bearbeitungszeit: 120 Minuten

Klausur. Softwareentwurf. 14. Februar 2011 Bearbeitungszeit: 120 Minuten Klausur Softwareentwurf 14. Februar 2011 Bearbeitungszeit: 120 Minuten FG Datenbank- und Informationssysteme Prof. Dr. Gregor Engels unbedingt vollständig und lesbar ausfüllen! Vorname: Matrikelnummer:

Mehr

Klausur: Modellierung. Bitte genau durchlesen!

Klausur: Modellierung. Bitte genau durchlesen! Klausur: Modellierung Prof. Dr. U. Kastens, Universität Paderborn Wintersemester 2001/2002 01.03.2002, 9:00 11:00 Uhr Nachname: Vorname: Matrikelnummer: Bitte genau durchlesen! Schreiben Sie zu Beginn

Mehr

Klausur. Diskrete Mathematik I. Donnerstag, den um 14 Uhr

Klausur. Diskrete Mathematik I. Donnerstag, den um 14 Uhr , Klausur Diskrete Mathematik I Donnerstag, den 29.02.2008 um 14 Uhr Aufgabenblätter Füllen Sie das Deckblattvollständigaus. Prüfen Sie, ob die Klausur 8 Aufgaben enthält.. Kennzeichnen Sie alle verwendeten

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Klausur zur Vorlesung Einführung in Verteilte Systeme WS 05/06 Prof. Dr. Odej Kao 3. Februar 2006

Klausur zur Vorlesung Einführung in Verteilte Systeme WS 05/06 Prof. Dr. Odej Kao 3. Februar 2006 Klausur zur Vorlesung Einführung in Verteilte Systeme WS 05/06 Prof. Dr. Odej Kao 3. Februar 2006 Aufkleber Name: Vorname: Matrikel: Studiengang: inkl. DPO4, B/M, Schwerp., Schreiben Sie zunächst Ihren

Mehr

2. Schriftliche Leistungskontrolle (EK)

2. Schriftliche Leistungskontrolle (EK) TheGI 2: Berechenbarkeit und Komplexität Prof. Dr.-Ing. Uwe Nestmann - 13. Juli 2010 2. Schriftliche Leistungskontrolle EK Punktzahl In dieser schriftlichen Leistungskontrolle sind 100 Punkte erreichbar.

Mehr

Musterlösung zur Hauptklausur Theoretische Grundlagen der Informatik Wintersemester 2013/14

Musterlösung zur Hauptklausur Theoretische Grundlagen der Informatik Wintersemester 2013/14 Institut für Theoretische Informatik Prof. Dr. Jörn Müller-Quade Musterlösung zur Hauptklausur Theoretische Grundlagen der Informatik Wintersemester 23/4 Vorname Nachname Matrikelnummer Hinweise Für die

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Lehrstuhl für Informatik Cauerstraße 11 91058 Erlangen TECHNISCHE FAKULTÄT Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Ziel dieser praktischen Übung ist es, einen Taschenrechner

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Automaten VHDL VHDL VHDL 13.

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 2. November 22 Besprechung: Übungsstunden in der Woche ab dem 9. November 22 ) Schaltungen und Schaltnetze Communication

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

VU Grundlagen digitaler Systeme

VU Grundlagen digitaler Systeme VU Grundlagen digitaler Systeme Übung 4. Übung 183.580, 2014W Übungsgruppen: Fr., 05.12.2014 Hinweis: Verwenden Sie für Ihre Lösungen keinen Taschenrechner und geben Sie die einzelnen Lösungsschritte an,

Mehr

Übung 7: VHDL Automaten

Übung 7: VHDL Automaten Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten

Mehr

Semestralklausur zu Modellierung verteilter Systeme

Semestralklausur zu Modellierung verteilter Systeme Name: Vorname: Matr.Nr: Technische Universität München WS 2010/2011 Institut für Informatik Prof. Manfred Broy 09.02.2011 Semestralklausur zu Modellierung verteilter Systeme Allgemeine Hinweise: Schreiben

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Aufgabe: Summe Punkte (max.): Punkte:

Aufgabe: Summe Punkte (max.): Punkte: ZUNAME:... VORNAME:... MAT. NR.:... Prüfung 389.53 Musterlösung A Datenkommunikation Institute of Telecommunications TU-Wien 2.2.24 Bitte beachten Sie: Die Dauer dieser Klausur beträgt zwei Zeitstunden.

Mehr

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung 2.3 Logikoptimierung Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik:..Exakte Verfahen..Heuristische Verfahren..Expansion/ Reduktion..Streichen

Mehr

12 Digitale Logikschaltungen

12 Digitale Logikschaltungen 2 Digitale Logikschaltungen Die Digitaltechnik ist in allen elektronischen Geräte vorhanden (z.b. Computer, Mobiltelefone, Spielkonsolen, Taschenrechner und vieles mehr), denn diese Geräte arbeiten hauptsächlich

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

Zwischenklausur zur Linearen Algebra I HS 2010, Universität Mannheim, Prof. Dr. C. Hertling, Ralf Kurbel

Zwischenklausur zur Linearen Algebra I HS 2010, Universität Mannheim, Prof. Dr. C. Hertling, Ralf Kurbel Zwischenklausur zur Linearen Algebra I HS 2010, 23.10.2010 Universität Mannheim, Prof. Dr. C. Hertling, Ralf Kurbel Name: Emil Mustermann Sitzplatznummer: 2 Die Bearbeitungszeit für diese Klausur beträgt

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Hinweise. Auswertung. Einführung in die Technische Informatik WS 2008/2009 Probeklausur. Aachen, 12. Januar 2009 SWS: V4/Ü2, ECTS: 7

Hinweise. Auswertung. Einführung in die Technische Informatik WS 2008/2009 Probeklausur. Aachen, 12. Januar 2009 SWS: V4/Ü2, ECTS: 7 Professor Dr.-Ing. Stefan Kowalewski Dipl.-Inform. Daniel Merschen Dr. rer. nat. Alexander Ferrein Aachen, 12. Januar 2009 SWS: V4/Ü2, ECTS: 7 Einführung in die Technische Informatik WS 2008/2009 Probeklausur

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Störungen in Digitalsystemen

Störungen in Digitalsystemen Störungen in Digitalsystemen Eine Lernaufgabe von Jost Allmeling Betreuer: Markus Thaler Inhalt und Lernziel: Die Studenten erkennen, dass man durch Einfügen von zusätzlichen Gattern Hazards vermeiden

Mehr

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6 Programmierbare Logik Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6: Programmierbare Logik und VLSI Seite Kapitel 6: Programmierbare Logik

Mehr

Mechatronische Elemente und Systeme II Teil B

Mechatronische Elemente und Systeme II Teil B Prüfungsklausur im Fach Mechatronische Elemente und Systeme II Teil B 27. Juli 2009 HINWEIS: Die nachfolgenden Klausuraufgaben Mechatronische Elemente und Systeme II Teil B stellen nur die Hälfte der Prüfungsaufgaben

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

Klausur-Nachbesprechung

Klausur-Nachbesprechung Universität der Bundeswehr München Rechnerorganisation I Fakultät für Informatik HT 23 Institut für Technische Informatik Blatt Klausur-Nachbesprechung Aufgabe -: Multiple Choice Geben Sie für die folgenden

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

Vorlesung Datenbank-Entwurf Klausur

Vorlesung Datenbank-Entwurf Klausur Dr. Stefan Brass 3. Juli 2002 Institut für Informatik Universität Giessen Vorlesung Datenbank-Entwurf Klausur Name: Geburtsdatum: Geburtsort: (Diese Daten werden zur Ausstellung des Leistungsnachweises

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Analog- und Digitalelektronik

Analog- und Digitalelektronik Willkommen zur Prüfung: Analog- und Digitalelektronik Name: Vorname: Matrikelnummer: Allgemeine Hinweise: Diese Klausur umfasst 7 n. Sie haben 90 Minuten Zeit, um die folgenden Aufgaben zu bearbeiten.

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Teil III. Schaltnetze und ihre Optimierung

Teil III. Schaltnetze und ihre Optimierung Teil III Schaltnetze und ihre Optimierung 1 Teil III.1 Schaltnetze 2 Beispiel 1 Schaltnetz für xor mit {+,, } x y x y 0 0 0 0 1 1 1 0 1 1 1 0 DNF: x y = xy + xy 3 Beispiel 2 xor mittels nand-verknüpfung;

Mehr

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD.

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD. Versuch PLD Anleitung zum Bedienen der Entwurfssoftware StateCAD am Beispiel einer Ampelsteuerung Prof. Dr. W. Reinhold, HTWK Leipzig 01.06.16 1 Inhaltsverzeichnis 1 STATECAD... 2 2 STATEBENCH... 15 1

Mehr

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum:

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: 20.12.2007 5.5.1 Steuerung einer Ampel Aufgabenstellung: Es soll ein Automat zur Steuerung

Mehr

Klausur. Betriebssysteme SS 2007

Klausur. Betriebssysteme SS 2007 Matrikelnummer: 9999999 Klausur FB Informatik und Mathematik Prof. R. Brause Betriebssysteme SS 2007 Vorname: Nachname: Matrikelnummer: Geburtsdatum: Studiengang: Bitte tragen Sie auf jeder Seite Ihre

Mehr

Durch das Borgen steht an der Zehner-Stelle jetzt nur noch eine 1 statt einer 2

Durch das Borgen steht an der Zehner-Stelle jetzt nur noch eine 1 statt einer 2 3.9 Subtraktion 155 3.9 Subtraktion Allgemein Bezeichnungen: Minuend - Subtrahend = Differenz Die Subtraktion zweier Zahlen wird stellenweise ausgeführt. Dabei kann es vorkommen, dass eine größere Zahl

Mehr

Klausur zur Vorlesung Einführung in Verteilte Systeme WS 05/06 Prof. Dr. Odej Kao 30. März 2006

Klausur zur Vorlesung Einführung in Verteilte Systeme WS 05/06 Prof. Dr. Odej Kao 30. März 2006 Klausur zur Vorlesung Einführung in Verteilte Systeme WS 05/06 Prof. Dr. Odej Kao 30. März 2006 Aufkleber Name: Vorname: Matrikel: Studiengang: inkl. DPO4, B/M, Schwerp., Schreiben Sie zunächst Ihren Namen

Mehr

UNIVERSITÄT DUISBURG-ESSEN

UNIVERSITÄT DUISBURG-ESSEN Termin: Musterklausur SS 2011 Prüfungsfach: Makroökonomik I Prüfer: Prof. Dr. Belke Name, Vorname Studiengang MUSTERKLAUSUR MAKROÖKONOMIK I Hinweise zur Bearbeitung der Klausur Seite 1 Bearbeitungszeit:

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

Datenstrukturen und Algorithmen 2. Klausur SS 2001

Datenstrukturen und Algorithmen 2. Klausur SS 2001 UNIVERSITÄT PADERBORN FACHBEREICH 7 (MATHEMATIK INFORMATIK) Datenstrukturen und Algorithmen 2. Klausur SS 200 Lösungsansätze Dienstag, 8. September 200 Name, Vorname:...................................................

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Lösungsvorschlag

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen Rechnerstrukturen 3b. Endliche Automaten Ziele Modellierung und Realisierung von Steuerungen Beispiele Autoelektronik: ABS-System Consumer: Kamera, Waschmaschine, CD-Player, Steuerung technischer Anlagen

Mehr

Electronic Design Automation (EDA) Spezifikation

Electronic Design Automation (EDA) Spezifikation Electronic Design Automation (EDA) Spezifikation Inhalte einer Spezifikation Beispielspezifikation Ampelsteuerung Formale Beschreibung Blockdiagramme... für die Ampel Zustandsübergangs-diagramme... für

Mehr

2 Vervollständige die Wahrheitstabellen.

2 Vervollständige die Wahrheitstabellen. Finde die sieben LogikGatter im Rätsel. Die Wörter können von links nach rechts horizontal oder von oben nach unten vertikal versteckt sein. Zur Hilfe ist das erste Wort schon markiert. L B W P F F C G

Mehr

Grundfachklausur Teil 1 / Statik I

Grundfachklausur Teil 1 / Statik I Technische Universität Darmstadt Institut für Werkstoffe und Mechanik im Bauwesen Fachgebiet Statik Prof. Dr.-Ing. Jens Schneider Grundfachklausur Teil 1 / Statik I im Wintersemester 2013/2014, am 21.03.2014

Mehr

Hauptklausur zur Vorlesung Theoretische Grundlagen der Informatik Wintersemester 2011/2012

Hauptklausur zur Vorlesung Theoretische Grundlagen der Informatik Wintersemester 2011/2012 Institut für Theoretische Informatik Lehrstuhl Prof. Dr. D. Wagner Hauptklausur zur Vorlesung Theoretische Grundlagen der Informatik Wintersemester 2011/2012 Hier Aufkleber mit Name und Matrikelnr. anbringen

Mehr

UNIVERSITÄT DUISBURG-ESSEN

UNIVERSITÄT DUISBURG-ESSEN Art der Prüfung: Kurzklausur für Lehramtsstudierende Termin: Sommersemester 2008 Nachtermin Studiengang: Studierende auf Lehramt, die eine erfolgreiche Teilnahme benötigen; Lehramt Sowi GHR; Lehramt Sowi

Mehr

Fachhochschule Südwestfalen Prof. Dr.-Ing. G. Klinge Prof. Dr.rer.nat. W. Rohde

Fachhochschule Südwestfalen Prof. Dr.-Ing. G. Klinge Prof. Dr.rer.nat. W. Rohde Fachhochschule Südwestfalen Prof. Dr.-Ing. G. Klinge Prof. Dr.rer.nat. W. Rohde Modulprüfung: Allgemeine Informatik Verbundstudiengang Technische Betriebswirtschaft 5. März 2005 Bearbeitungszeit: 90 Minuten,

Mehr

Bearbeitungszeit: 120 Minuten. Kommentare kosten Zeit; kommentieren Sie ihr Programm nur da, wo der Code alleine nicht verständlich wäre.

Bearbeitungszeit: 120 Minuten. Kommentare kosten Zeit; kommentieren Sie ihr Programm nur da, wo der Code alleine nicht verständlich wäre. Fakultät IV Elektrotechnik/Informatik Klausur Einführung in die Informatik I für Elektrotechniker Name:... Matr.-Nr.... Bearbeitungszeit: 120 Minuten Bewertung (bitte offenlassen : ) Aufgabe Punkte Erreichte

Mehr

Gegeben ist ein systematischer (7,3)-Cod. Die drei seiner Codewörter lauten:

Gegeben ist ein systematischer (7,3)-Cod. Die drei seiner Codewörter lauten: Prof. Dr.-Ing. H.G. Musmann INSTITUT FÜR THEORETISCHE NACHRICHTENTECHNIK UND INFORMATIONSVERARBEITUNG UNIVERSITÄT HANNOVER Appelstraße 9A 67 Hannover Gegeben ist ein systematischer (7,)-Cod. Die drei seiner

Mehr

Logische Bausteine. Grundlagen der Rechnerarchitektur Logik und Arithmetik 31

Logische Bausteine. Grundlagen der Rechnerarchitektur Logik und Arithmetik 31 Logische Bausteine Sequentielle Schaltungen Shlt Grundlagen der Rechnerarchitektur Logik und Arithmetik 31 Sequentielle Schaltungen n Eingänge m Ausgänge n Eingänge m Ausgänge Zustand Ausgänge hängen nur

Mehr

Klausur "Elektronik und Messtechnik" 9115/6203. am Teil: Elektronik

Klausur Elektronik und Messtechnik 9115/6203. am Teil: Elektronik Name, Vorname: Klausur "Elektronik und Messtechnik" 9115/6203 am 12.03.2007 1. Teil: Elektronik Hinweise zur Klausur: Die für diesen Teil zur Verfügung stehende Zeit beträgt 2 h. Matr.Nr.: Aufg. P max

Mehr

Nachholklausur zur Vorlesung: Einführung in die objektorientierte Programmierung mit Java WS1415

Nachholklausur zur Vorlesung: Einführung in die objektorientierte Programmierung mit Java WS1415 Nachholklausur zur Vorlesung: Einführung in die objektorientierte Programmierung mit Java WS1415 Alexander Bazo 10. April 2015 Allgemeine Hinweise 1. Die Bearbeitungszeit beträgt 60 Minuten. Sie könne

Mehr

2. Schriftliche Leistungskontrolle (EK)

2. Schriftliche Leistungskontrolle (EK) TheGI 1: Grundlagen und algebraische Strukturen Prof. Dr.-Ing. Uwe Nestmann - 10. Februar 2009 2. Schriftliche Leistungskontrolle (EK) Punktzahl In dieser schriftlichen Leistungskontrolle sind 100 Punkte

Mehr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr Praktikum: VLSI-Entwurf 6 VHDL Standardzell Entwurf Entwurf einer Weckuhr Andreas Mäder Universität Hamburg MIN Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme http://tams.informatik.uni-hamburg.de

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Technischen Informatik I, WS 2004/05

Technischen Informatik I, WS 2004/05 PHILIPPS-UNIVERSITÄT MARBURG Fachbereich Mathematik und Informatik Prof Dr R Loogen, Dipl-Inform J Beringer D-3532 Marburg Hans-Meerwein-Straße Lahnberge Klausur zur Technischen Informatik I, WS 24/5 3

Mehr