C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

Größe: px
Ab Seite anzeigen:

Download "C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)"

Transkript

1 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,, ): + + kanonische konjunktive Normalform (KKNF, KKF) Konjunktion einer Menge von Maxtermen mit gleichen Variablen Beispiel: KKNF zur Funktion f(,,, ): ( ) ( ) ( ) 5.7 Hauptsatz der Schaltalgebra Jede Schaltfunktion lässt sich als genau eine KDNF darstellen Für jedes f( x) = 1 aus der Wahrheitstafel bilde man einen Minterm für die KDNF. Eine Variable x i wird invertiert, wenn die Variable für diesen Eintrag in der Wahrheitstabelle 0 ist, ansonsten einfach verwendet. Beispiel: f(,,, ) Darstellung durch KDNF bis auf Vertauschungen eindeutig (Kommutativität) C.33 C Hauptsatz der Schaltalgebra (2) Jede Schaltfunktion lässt sich als genau eine KKNF darstellen Für jedes f( x) = 0 aus der Wahrheitstafel bilde man einen Maxterm für die KKNF. Eine Variable x i wird invertiert, wenn die Variable für diesen Eintrag in der Wahrheitstabelle 1 ist, ansonsten einfach verwendet. Beispiel: f(,,, ) 5.7 Hauptsatz der Schaltalgebra (3) Überführung der kanonischen Normalformen ineinander Wegen Dualität gilt: KDNF( f( x) ) = KKNF( f( x) ) und KKNF( f( x) ) = KDNF( f( x) ) Darstellung durch KKNF bis auf Vertauschungen eindeutig (Kommutativität) C.35 C.36

2 6 Synthese von Schaltungen Vorgehen Aufstellen der Wahrheitstafel Bilden der KDNF (oder KKNF) Aufbau der dazugehörigen Schaltung 6.1 Beispiel: Oderfunktion Wahrheitstafel für die zweistellige Oder-Funktion f(, ) Beispiel: Oderfunktion (2) Bildung der KDNF Suchen der Stellen mit f(, ) = 1 Summieren der entsprechenden Minterme: f(, ) = + + Problem Schaltung wird in aller Regel nicht minimal sein C.37 C Einschub: Binärzahlen Darstellung von ganzen Zahlen mit Hilfe von binären Zuständen Darstellung mit 0 und 1 aber mehreren Stellen z.b. zweistellige Binärzahlen 4 Möglichkeiten: 00, 01, 10, 11 z.b. dreistellige Binärzahlen 8 Möglichkeiten: 000, 001, 010, 011, 100, 101, 110, Einschub: Binärzahlen (2) Wert einer Binärzahl Beispiel: ( 011) 2 Stufenzahlen aus dem Binärsystem: Zweierpotenzen, 2 n Berechnung: ( 011) 2 = = 3 Aufzählen der Zahlen an niedrigster (rechter) Stelle eine 1 addieren 1+1 ergibt 0 plus Übertrag von 1 auf nächste Stelle ergibt 1 plus Übertrag von 1 auf nächste Stelle C.39 C.40

3 6.3 Beispiel: Eingabemelder 6.3 Beispiel: Eingabemelder (2) Zwei dreistelliges Schaltfunktionen eine der Eingabevariablen kann 1 sein Ergebnis ist Nummer der Eingabevariable (als Ergebnis zweier Schaltfunktionen) Wahrheitstafel f 2 (,, ) f 1 (,, ) d d d d d d d d C.41 Uninteressante Funktionswerte einige Eingabewerte können nicht vorkommen / werden ausgeschlossen don t care Ergebnisse mit d gekennzeichnet Bildung der KDNF für beide Schaltfunktionen f 2 (,, ) = + f 1 (,, ) = + uninteressante Funktionsergebnisse werden nicht berücksichtigt (d.h. bei KDNF wie Null-Ergebnisse behandelt) C Beispiel: Siebensegmentanzeige 6.4 Beispiel: Siebensegmentanzeige (2) Typische Anzeige für Ziffern a f b g e c d Schaltfunktionen zur Ansteuerung der Segmente Parameter: binär codierte Zahl bzw. Ziffer Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d C.43 Aufstellung der Wahrheitstafel zur Ansteuerung des Segmentes d x 0 f(,,, x 0 ) d d d C.44

4 6.4 Beispiel: Siebensegmentanzeige (3) Aufstellung der KDNF nur 1-Werte betrachten don t care-werte werden ignoriert f = ( x 0 ) + ( x 0 ) + ( x 0 ) + ( x 0 ) + ( x 0 ) + ( x 0 ) + ( x 0 ) 7 Äquivalenz von Schaltfunktionen Wegen der Eindeutigkeit der Darstellung als KDNF bzw. KKNF gilt: zwei Schaltfunktionen sind äquivalent, wenn sie sich auf die selbe KDNF oder KKNF zurückführen lassen bis auf Vertauschungen bzgl. des Kommutativitätsaxioms Umformungen nach den Gesetzen der Boolschen Algebra Erhaltung der Schaltfunktion KDNF sicherlich nicht minimal ungeeignet zur Übertragung in eine kostengünstige Schaltung Nutzen z.b. Minimisieren von Schaltfunktionen C.45 C.46 8 Minimisierung 8.1 Grundlage der Minimisierung Suche nach einer minimalen Darstellung einer Schaltfunktion Größenbegriff notwendig Menge der notwendigen Gatter Anzahl der Variablen Anzahl der notwendigen ICs Anzahl der notwendigen Kontakte Gesetze der Booleschen Algebra insbesondere A B + A B = A Beweis A B + A B = A ( B + B) wg. (Kommutativität u.) Distributivität Größenbegriff von den Kosten bestimmt A ( B+ B) = A 1 wg. komplementärem Element Größenbegriff hier Anzahl der booleschen Operationen A 1 = A wg. neutralem Element C.47 C.48

5 8.1 Grundlage der Minimisierung (2) Beispiel: Oderfunktion KDNF: Umwandlung: f(, ) = + + f(, ) = + + f(, ) = + ( + ) f(, ) = + 1 f(, ) = + f(, ) = + + f(, ) f(, ) = = ( + ) + + Distributivität, neutrales Element Absorption 8.2 Vorgehensweise Manuelles Minimisieren Umformen (z.b. der KDNF) nach den Regeln der Booleschen Algebra Algorithmisches Verfahren Verfahren nach Quine/McCluskey kann durch ein Programm angewandt werden geeignet für Schaltfunktionen mit vielen Variablen Graphische Verfahren Händlerscher Kreisgraph Karnaugh-Veitch Diagramme geeignet für Schaltfunktionen mit wenigen Variablen C.49 C Karnaugh-Veitch-Diagramme Ausgangspunkt KDNF (oder KKNF) Rechteckschema je ein Feld für jeden möglichen Minterm (Maxterm) Anordnung der Felder, so dass benachbarte Felder bzw. Minterme zusammenfassbar Diagramm für zweistellige Schaltfunktion Funktion: f(, ) Diagramm: 8.3 Karnaugh-Veitch-Diagramme (2) Diagrammaufbau jede Variable x i halbiert das Diagramm in zwei zusammenhängende Teile erster Teil für xi zweiter Teil für Variable x i Variable benachbarte Felder unterscheiden sich nur um das Vorzeichen einer Variablen in den beiden Mintermen C.51 C.52

6 8.4 Beispiel: Oderfunktion Aufstellen der KDNF f(, ) = + + Eintragung in das Diagramm Eintragung einer 1, wenn Minterm benötigt wird Eintragung einer 0, wenn Minterm nicht benötigt wird Eintragung auch direkt aus Wahrheitstafel möglich C Beispiel: Oderfunktion (2) Markierung möglichst weniger und möglichst großer zusammenhängender Bereiche mit 1en nur zusammenhängende rechteckige Bereiche mit 2 n Elementen erlaubt alle 1 Felder müssen schließlich markiert sein markierten Bereiche ergeben Produktterme, die summiert werden: f(, ) = + Produktterme ergeben sich aus den Variablen die lediglich negiert oder ohne Negation vorkommen C Beispiel: Oderfunktion (3) Alternative Markierung Markierung nicht so groß wie möglich, aber alle 1en markiert 8.5 Beispiel: Eingabemelder Dreistellige Schaltfunktionen Karnaugh-Veitch-Diagramm markierten Bereiche ergeben Produktterme, die summiert werden: f(, ) = + Funktion korrekt, jedoch nicht minimal C.55 C.56

7 8.6 Beispiel: Eingabemelder (2) 8.7 Beispiel: Eingabemelder (3) Halbierungen des Diagramms Variable Halbierungen des Diagramms Variable Variable Wichtig: die Bereiche für gehören zusammen Vorstellung: Diagramm ist an den Rändern zusammengeklebt C.57 C Beispiel: Eingabemelder (4) 8.7 Beispiel: Eingabemelder (5) Belegen des Diagramms aus der Wahrheitstafel Funktion aus Folie C.41 f 2 Eintragung der don t care -Werte 0 1 d 0 1 d d d don t care -Werte können mitmarkiert werden oder nicht Ziel: möglichst große Bereiche markieren markierte don t care -Werte werden später zu 1, andere zu 0 Markierungen für f 2 zwei Bereiche 0 1 d 0 1 d d d markierten Bereiche ergeben Produktterme, die summiert werden: f 2 (,, ) = + C.59 C.60

8 8.8 Beispiel: unbestimmte Funktion Gegebene Belegung aus der Wahrheitstafel Gesucht ist die beste Markierung 8.9 Beispiel: weitere Funktion Gegebene weitere Belegung aus der Wahrheitstafel Gesucht ist die beste Markierung 1 0 d d d markierten Bereiche ergeben Produktterme, die summiert werden: f(,, ) = + Minimale DNF gefunden f(,, ) = + C.61 C Vierstellige Funktionen Karnaugh-Veitch-Diagramm für vierstellige Schaltfunktion x x 8.10 Vierstellige Funktionen (2) Halbierungen für vierstellige Schaltfunktion C.63 C.64

9 8.10 Vierstellige Funktionen (3) Halbierungen für vierstellige Schaltfunktion x Vierstellige Funktionen (4) Markierungen insbesonder folgende Markierung möglich X X X X X X X X Vorstellung: Diagramm ist an den Seiten jeweils zusammengeklebt x 4 C.65 X X X X C Beispiel: 2x2-Multiplizierer 8.11 Beispiel: 2x2-Multiplizierer (2) Binärer Multiplizierer für 2 mal 2 Eingänge Binärdarstellung von Zahlen von 0 bis 3 bzw. 0 bis 15 zwei Eingänge a 1 und a 0 zwei Eingänge b 1 und b 0 vier Ausgänge y 3, y 2, y 1 und y 0 C.67 a 1 = a 0 = b 1 = b 0 = y 3 y 2 y 1 y 0 0 x 0 = 0 0 = 1 0 = = x 0 = = = = x 0 = = = = x 0 = = = = C.68

10 8.11 Beispiel: 2x2-Multiplizierer (3) Karnaugh-Veitch-Diagramm für y 0 : 8.11 Beispiel: 2x2-Multiplizierer (4) Karnaugh-Veitch-Diagramm für y 1 : Markierte Bereiche: y 0 = Markierte Bereiche: y 1 = C.69 C Beispiel: 2x2-Multiplizierer (5) Karnaugh-Veitch-Diagramm für y 2 : 8.11 Beispiel: 2x2-Multiplizierer (6) Karnaugh-Veitch-Diagramm für y 3 : Markierte Bereiche: y 2 = + Markierte Bereiche: y 3 = C.71 C.72

11 8.12 Zusammenfassung Markierungsregeln rechteckige Bereiche mit 2 n Elementen markieren Achtung: Diagramm gilt als oben und unten zusammengenäht alle 1-Werte müssen markiert werden möglichst große Bereiche markieren möglichst wenig Bereiche markieren 9 Schaltnetze Mehrere Schaltfunktionen (Combinational Networks) sind von gleichen Eingangsvariablen abhängig f 1 (,,, x n ) f 2 (,,, x n ) f m (,,, x n ) entspricht Schaltung mit mehreren Ausgängen f 1 (x) f 2 (x) x n f m (x) Kombinatorische Logik C.73 C.74 9 Schaltnetze (2) Gerichteter, azyklischer Graph Gatter, Ein- und Ausgänge sind Knoten Verbindungsleitungen sind Kanten (gerichtet von Eingang zu Ausgang) Aufbau von Schaltnetzen einstufige (nur eine Gatterebene) zweistufige (zwei Gatterebenen) mehrstufige 9 Schaltnetze (3) Begründung Bezug zur KDNF (oder KKNF) alle Variablen werden einfach oder negiert benutzt zunächst Minterme: ein Und-Gatter pro Minterm (erste Stufe) Summe der Minterme: ein Oder-Gatter für alle Minterme f 2 f 1 Folgerung aus Darstellung durch kanonische Normalformen Jedes Schaltnetz ist zweistufig realisierbar, wenn alle Signale einfach und negiert vorliegen und Gatter mit ausreichender Anzahl von Eingängen vorliegen. Beispiel: Eingabemelder C.75 C.76

12 9 Schaltnetze (4) Anzahl der notwendigen Gatter bei n Eingängen max. 2 n Und-Gatter pro Schaltfunktion mit bis zu n Eingängen (KDNF) ein Oder-Gatter mit bis zu 2 n Eingängen Minimisierung reduziert Gatteranzahl und Eingangsanzahl pro Gatter Minimisierung parallel für mehrere Schaltfunktionen des Schaltnetzes Verwendung der selben Gatter z.b. Karnaugh-Veitch-Diagramme für mehrere Schaltfunktionen des Netzes 10 Typische Schaltnetze aus-k-Multiplexer Steuerleitungen weisen viele Eingabeleitungen einem Ausgang zu n Steuerleitungen s 0, s 1,, s n 1 (Eingänge) k = 2 n Eingänge x 0,,, x k 1 ein Ausgang y es gilt: y = x i für ( s n 1,, s 1, s 0 ) 2 = i (Zahlendarstellung im Binärsystem) x 0 x k-1 y Multiplexer (MUX) s 0 s 1 s n-1 C.77 C aus-k-Multiplexer (2) Realisierung für n = 2 als DNF Einsatz y = s 1 s 0 x 0 + s 1 s 0 + s 1 s 0 + s 1 s 0 s 0 s 1 x 0 Anzeige und Auswahl verschiedener Datenquellen z.b. Auslesen von Daten aus Speicherzellen y C zu-k-Demultiplexer Steuerleitungen weisen eine Eingabeleitung vielen Ausgängen zu n Steuerleitungen s 0, s 1,, s n 1 (Eingänge) ein Eingang x k = 2 n Ausgänge y 0, y 1,, y k 1 es gilt: y i = x für ( s n 1,, s 1, s 0 ) 2 = i (Zahlendarstellung im Binärsystem) x s 0 s 1 s n-1 y 0 y 1 y k-1 Demultiplexer (DEMUX) C.80

13 zu-k-Demultiplexer (2) Realisierung für n = 2 als DNF y 0 = s 1 s 0 x, y 1 = s 1 s 0 x, y 2 = s 1 s 0 x, y 3 = s 1 s 0 x x y 0 y k-zu-n-kodierer Nummer eines Eingangs wird ausgegeben k = 2 n Eingänge x 0,,, x k 1 immer genau eine Eingangsleitung auf 1 i mit x i = 1 und j i x j = 0 n Ausgänge y 0, y 1,, y n 1 es gilt: ( y n 1,, y 1, y 0 ) 2 = i (Zahlendarstellung im Binärsystem) s 0 s 1 y 2 y 3 x 0 x k-1 Encoder y 0 y 1 y n-1 Kodierer Einsatz Zuordnung und Auswahl verschiedener Datensenken z.b. Speichern von Daten in Speicherzellen C.81 C k-zu-n-kodierer (2) Realisierung für n = 2, k = 4 als DNF y 0 = +, y 1 = + x n-zu-k-dekodierer Eingänge selektieren genau einen von vielen Ausgängen n Eingänge x 0,,, x n 1 k = 2 n Ausgänge y 0, y 1,, y k 1 es gilt: y i = 1 und j i y j i = 0 mit ( x n 1,,, x 0 ) 2 = i (Zahlendarstellung im Binärsystem) y 1 y 0 x 0 x n-1 Decoder y 0 y 1 y k-1 Dekodierer Einsatz z.b. Signalisierung eines Eingang C.83 C.84

14 10.4 n-zu-k-dekodierer (2) Realisierung für n = 2, k = 4 als DNF y 0 = x 0, y 1 = x 0, y 2 = x 0, y 3 = x 0 y 0 y 1 y 2 x 0 y 3 Einsatz z.b. Dekodierung eines Maschinenbefehls C.85

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Kombinatorische Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Kombinatorische Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Kombinatorische Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Überblick Analog- und Digitaltechnik Boolesche Algebra Schaltfunktionen Gatter Normalformen

Mehr

Technische Informatik

Technische Informatik Vorlesung WS 25/6 Klaus Merle, ZDV, Universität Mainz [25ws-TI-A-Org.fm, 25-11-2 13.12] A Organisatorisches Klaus Merle, ZDV, Universität Mainz [25ws-TI-A-Org.fm, 25-11-2 13.12] A 1 1 Dozent Prof. Dr.

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

A.1 Schaltfunktionen und Schaltnetze

A.1 Schaltfunktionen und Schaltnetze Schaltfunktionen und Schaltnetze A. Schaltfunktionen und Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Bedeutung des Binärsystems für den Rechneraufbau Seit Beginn der Entwicklung von Computerhardware

Mehr

Formelsammlung. Wahrscheinlichkeit und Information

Formelsammlung. Wahrscheinlichkeit und Information Formelsammlung Wahrscheinlichkeit und Information Ein Ereignis x trete mit der Wahrscheinlichkeit p(x) auf, dann ist das Auftreten dieses Ereignisses verbunden mit der Information I( x): mit log 2 (z)

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Teil II. Schaltfunktionen

Teil II. Schaltfunktionen Teil II Schaltfunktionen 1 Teil II.1 Zahlendarstellung 2 b-adische Systeme Sei b IN mit b > 1 und E b = {0, 1,..., b 1} (Alphabet). Dann ist jede Fixpunktzahl z (mit n Vorkomma und k Nachkommastellen)

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Kombinatorische Schaltwerke

Kombinatorische Schaltwerke Informationstechnisches Gymnasium Leutkirch Kombinatorische Schaltwerke Informationstechnik (IT) Gemäß Bildungsplan für das berufliche Gymnasium der dreijährigen Aufbauform an der Geschwister-Scholl-Schule

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Kapitel 3: Boolesche Algebra

Kapitel 3: Boolesche Algebra Inhalt: 3.1 Grundlegende Operationen und Gesetze 3.2 Boolesche Funktionen u. u. ihre Normalformen 3.3 Vereinfachen von booleschen Ausdrücken 3.4 Logische Schaltungen 3.1 Grundlegende Operationen und Gesetze

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

183.580, WS2012 Übungsgruppen: Mo., 22.10.

183.580, WS2012 Übungsgruppen: Mo., 22.10. VU Grundlagen digitaler Systeme Übung 2: Numerik, Boolesche Algebra 183.580, WS2012 Übungsgruppen: Mo., 22.10. Aufgabe 1: Binäre Gleitpunkt-Arithmetik Addition & Subtraktion Gegeben sind die Zahlen: A

Mehr

Übungsaufgaben für "Grundlagen der Informationsverarbeitung" (mit Lösungen)

Übungsaufgaben für Grundlagen der Informationsverarbeitung (mit Lösungen) Übungsaufgaben für "Grundlagen der Informationsverarbeitung" (mit Lösungen). Erläutern Sie die Begriffe Bit, Byte und Wort bezogen auf einen 6 Bit Digitalrechner. Bit: Ein Bit ist die kleinste, atomare,

Mehr

Zusammenfassung. Satz. 1 Seien F, G Boolesche Ausdrücke (in den Variablen x 1,..., x n ) 2 Seien f : B n B, g : B n B ihre Booleschen Funktionen

Zusammenfassung. Satz. 1 Seien F, G Boolesche Ausdrücke (in den Variablen x 1,..., x n ) 2 Seien f : B n B, g : B n B ihre Booleschen Funktionen Zusammenfassung Zusammenfassung der letzten LV Einführung in die Theoretische Informatik Woche 6 Harald Zankl Institut für Informatik @ UIBK Wintersemester 2014/2015 Satz 1 Seien F, G Boolesche Ausdrücke

Mehr

Einführung in Informatik 1

Einführung in Informatik 1 Einführung in Informatik Prof. Dr.-Ing. Andreas Penningsfeld Zahlensysteme Allgemein: Zahl b := zn * bn +... + z * b + z ( ) * b (-) +... + z (-m) * b (-m) ; zi: Koeffizienten b: Basis Dezimalsystem Dualsystem

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

5. Aussagenlogik und Schaltalgebra

5. Aussagenlogik und Schaltalgebra 5. Aussagenlogik und Schaltalgebra Aussageformen und Aussagenlogik Boolesche Terme und Boolesche Funktionen Boolesche Algebra Schaltalgebra Schaltnetze und Schaltwerke R. Der 1 Aussagen Information oft

Mehr

Informatik I WS 07/08 Tutorium 24

Informatik I WS 07/08 Tutorium 24 Info I Tutorium 24 Informatik I WS 07/08 Tutorium 24 3.2.07 astian Molkenthin E-Mail: infotut@sunshine2k.de Web: http://infotut.sunshine2k.de Organisatorisches / Review is zum 2.2 müssen alle Praxisaufgaben

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Schaltalgebra - logische Schaltungen

Schaltalgebra - logische Schaltungen Schaltalgebra - logische Schaltungen Bakkalaureatsarbeit im Rahmen des Mathematischen Seminars unter Leitung von Wolfgang Schmid eingereicht von Verena Horak Salzburg, Sommersemester 2003 Inhaltsverzeichnis

Mehr

Grundlagen der Computertechnik

Grundlagen der Computertechnik Grundlagen der Computertechnik Aufbau von Computersystemen und Grundlagen des Rechnens Walter Haas PROLOG WS23 Automation Systems Group E83- Institute of Computer Aided Automation Vienna University of

Mehr

I. Aussagenlogik. Aussagenlogik untersucht Verknüpfungen wie "und", "oder", "nicht", "wenn... dann" zwischen atomaren und komplexen Sätzen.

I. Aussagenlogik. Aussagenlogik untersucht Verknüpfungen wie und, oder, nicht, wenn... dann zwischen atomaren und komplexen Sätzen. I. Aussagenlogik 2.1 Syntax Aussagenlogik untersucht Verknüpfungen wie "und", "oder", "nicht", "wenn... dann" zwischen atomaren und komplexen Sätzen. Sätze selbst sind entweder wahr oder falsch. Ansonsten

Mehr

der einzelnen Aussagen den Wahrheitswert der zusammengesetzten Aussage falsch falsch falsch falsch wahr falsch wahr falsch falsch wahr wahr wahr

der einzelnen Aussagen den Wahrheitswert der zusammengesetzten Aussage falsch falsch falsch falsch wahr falsch wahr falsch falsch wahr wahr wahr Kapitel 2 Grundbegriffe der Logik 2.1 Aussagen und deren Verknüpfungen Eine Aussage wie 4711 ist durch 3 teilbar oder 2 ist eine Primzahl, die nur wahr oder falsch sein kann, heißt logische Aussage. Ein

Mehr

3. Steuerungstechnik Teil I

3. Steuerungstechnik Teil I 3. Steuerungstechnik Teil I 3.. Boolsche Algebra und Schaltalgebra Die Berechnung logischer Verknüpfungen in binären Steuerungssystemen hat als Grundlage die Boolsche Algebra bzw. die auf Schaltsystemen

Mehr

Technische Informatik 1 Übungsaufgaben und Lösungen WS 2002/2003

Technische Informatik 1 Übungsaufgaben und Lösungen WS 2002/2003 Technische Informatik 1 Übungsaufgaben und Lösungen WS 2002/2003 22. Oktober 2003 Bemerkungen zur Lösung Folgende Konventionen wurden für die Lösung getroffen: In Schaltfunktionen wird folgende Notation

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlagen der Informatik Teil III Boolesche Algebra, Signalarten, Elektronische Bauteile Seite 1 Boolesche Algebra George Boole => englischer Mathematiker Mitte 19. Jahrhundert Formale Sicht digitaler

Mehr

DV1_Kapitel_4.doc Seite 4-1 von 28 Rüdiger Siol 12.09.2009 16:29

DV1_Kapitel_4.doc Seite 4-1 von 28 Rüdiger Siol 12.09.2009 16:29 Inhaltsverzeichnis 4 Boolesche lgebra... 4-2 4. lgebra der Logik, algebraische Logik... 4-2 4.. Schaltalgebra und logische Schaltungen... 4-3 4... Zustand eines digitalen Systems... 4-5 4...2 Schaltfunktion...

Mehr

Inhaltsverzeichnis. Inhalt. 1 Einleitung

Inhaltsverzeichnis. Inhalt. 1 Einleitung Inhalt 3 Inhaltsverzeichnis 1 Einleitung 1.1 Digitale und analoge Signale... 9 1.2 Digitale Darstellung... 12 1.3 Datenübertragung... 14 1.4 Aufgaben digitaler Schaltungen... 17 1.5 Geschichte der Digitalrechner...

Mehr

Prüfungsklausur 1609 WS 2012/2013

Prüfungsklausur 1609 WS 2012/2013 Prüfungsklausur 1609 WS 2012/2013 Prof. Dr. W. Schimann 16.03.2013 1 FernUniversität Hagen Prüfungsklausur Computersysteme 16.03.2013 Seite 2 Inhaltsverzeichnis 1 KV-Diagramm 4 2 Schaltfunktionen und Schaltnetze

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik b J K Q Q Praktikum igitaltechnik Q Q achelor-studium KoSI Praktikumsunterlagen Versuch GT Grundlagen der kombinatorischen Logik.Praxisnahes Kenne nlernen eines Is. Gegeben sind die PIN-elegungen von 4

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

3 Rechnen und Schaltnetze

3 Rechnen und Schaltnetze 3 Rechnen und Schaltnetze Arithmetik, Logik, Register Taschenrechner rste Prozessoren (z.b. Intel 4004) waren für reine Rechenaufgaben ausgelegt 4 4-Bit Register 4-Bit Datenbus 4 Kbyte Speicher 60000 Befehle/s

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr. Jürgen Reichardt, Oldenbourg Verlag München Inhaltsverzeichnis Vorwort V 1 Einleitung 1 1.1 Die Hardwarebeschreibungssprache VHDL 3 1.2 Digitale

Mehr

1. Grundlagen der Digitaltechnik K. Taubert WS 01/ 02

1. Grundlagen der Digitaltechnik K. Taubert WS 01/ 02 1. Grundlagen der Digitaltechnik K. Taubert WS 1/ 2 Digitaltechnik ist allgegenwärtig und viele Abläufe in unserem heutigen Leben sind kaum noch denkbar ohne diese Technik. Hier beschäftigen wir uns mit

Mehr

Repetitorium GdRA - WiSe12/13

Repetitorium GdRA - WiSe12/13 Repetitorium GdRA - WiSe12/13 Patrick Weggler February 2013 Institut fu r Eingebette Systeme und Echtzeitsysteme Proudly presented by Fachschaft Informatik in whatever with SK Informatik Seite 2 Willkommen

Mehr

5.0 Kombinatorische Schaltkreise, Schaltnetze

5.0 Kombinatorische Schaltkreise, Schaltnetze 5.0 Kombinatorische Schaltkreise, Schaltnetze Ziel des Kapitels ist es Kenntnisse über folgendes zu erwerben: Synthese von Schaltnetzen Analyse von Schaltnetzen - Logische Analyse - Laufzeiteffekte in

Mehr

HANSER. von Prof. Dipl.-Ing. Johannes Borgmeyer. 2., verbesserte Auflage

HANSER. von Prof. Dipl.-Ing. Johannes Borgmeyer. 2., verbesserte Auflage 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. von Prof. Dipl.-Ing. Johannes Borgmeyer 2., verbesserte Auflage Mit

Mehr

Digitaltechnik. Basierend auf den CDT1-Unterlagen des CDT Teams. Zusammengefasst durch Simon Flüeli

Digitaltechnik. Basierend auf den CDT1-Unterlagen des CDT Teams. Zusammengefasst durch Simon Flüeli Digitaltechnik Basierend auf den CDT1-Unterlagen des CDT Teams Zusammengefasst durch Autor E-Mail fluelsim@students.zhaw.ch Datum 05.04.2011 Fach C und Digitaltechnik (CDT1) Originalunterlagen https://olat.zhaw.ch/olat/auth/1%3a-

Mehr

Technische Grundlagen der Informatik Kapitel 2. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 2. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 2 Prof. Dr. Sorin. Huss Fachbereich Informatik TU Darmstadt Kapitel 2: Themen Logische Schaltungen oolesche lgebra Minimierung boolescher Funktionen Kombinatorische

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik von Dirk W. Hoffmann 1. Auflage Hanser München 2007 Verlag C.H. Beck im Internet: www.beck.de ISBN 978 3 446 40691 9 Zu Leseprobe schnell und portofrei erhältlich

Mehr

Digitaltechnik Teil 1-1 - Prof. Komar. Digitaltechnik

Digitaltechnik Teil 1-1 - Prof. Komar. Digitaltechnik Digitaltechnik Teil 1-1 - Prof. Komar Digitaltechnik Teil 1: Schaltalgebra Minimierung - digitale Bausteine - Schaltnetze Inhaltsverzeichnis Schaltalgebra... 2 Verknüpfungszeichen und Darstellungsformen

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Rechnenund. Systemtechnik

Rechnenund. Systemtechnik Rechnen- und Systemtechnik 1 / 29 Rechnenund Systemtechnik Skript und Unterrichtsmitschrift April 22 Rechnen- und Systemtechnik 2 / 29 nhaltsverzeichnis 1. Grundbausteine der Digitaltechnik... 4 1.1. UND-Verknüpfungen

Mehr

Informatik II. - A - Vorlesung an der Universität Bonn im Sommersemester 2002. A Bausteine von Rechnern. Prof. Dr. Rainer Manthey

Informatik II. - A - Vorlesung an der Universität Bonn im Sommersemester 2002. A Bausteine von Rechnern. Prof. Dr. Rainer Manthey Titelfolie Bausteine von Rechnern Informatik II - - Vorlesung an der Universität Bonn im Sommersemester 22 Bausteine von Rechnern Prof. Dr. Rainer Manthey 22 Prof. Dr. Rainer Manthey Informatik II 22 Prof.

Mehr

Mathematische Modelle in Angewandten Wissenschaften

Mathematische Modelle in Angewandten Wissenschaften Mathematische Modelle in Angewandten Wissenschaften LVA 405.880 C. Fuchs Inhaltsübersicht 14.12.2015 Inhaltsübersicht Diese Lehrveranstaltung dient zur Finalisierung der mathematischen Ausbildung im Lehramtsstudium

Mehr

Informatik A ( Frank Hoffmann)

Informatik A ( Frank Hoffmann) Teillösungen zum 1. Aufgabenblatt zur Vorlesung Informatik A ( Frank Hoffmann) 1. Improvisieren Stellen Sie die Zahl 6 dar durch einen Ausdruck, der genau dreimal die Ziffer i enthält und ansonsten neben

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Informationslogik. Theorie und Übungen. Robert-Bosch-Schule Ulm. Version 1.0

Informationslogik. Theorie und Übungen. Robert-Bosch-Schule Ulm. Version 1.0 Informationslogik Theorie und Übungen Robert-Bosch-Schule Ulm Version.0 7. September 205 Inhaltsverzeichnis: Dieses Skript soll als Nachschlagewerk und als Übungsbuch dienen. Dieses Skript ist aus eigenen

Mehr

Computergrundlagen Boolesche Logik, Zahlensysteme und Arithmetik

Computergrundlagen Boolesche Logik, Zahlensysteme und Arithmetik Computergrundlagen Boolesche Logik, Zahlensysteme und Arithmetik Institut für Computerphysik Universität Stuttgart Wintersemester 2012/13 Wie rechnet ein Computer? Ein Mikroprozessor ist ein Netz von Transistoren,

Mehr

Fragen für die Klausuren

Fragen für die Klausuren Fragen für die Klausuren Vom Quellcode zum ausführbaren Programm Was ist ein Quellcode? Ist der Quellcode von einem Programm auf unterschiedlichen Rechner gleich? Nennen Sie drei Programmiersprachen. Was

Mehr

Erfüllbarkeit und Allgemeingültigkeit

Erfüllbarkeit und Allgemeingültigkeit Theoretische Informatik: Logik, M. Lange, FB16, Uni Kassel: 3.3 Aussagenlogik Erfüllbarkeit 44 Erfüllbarkeit und Allgemeingültigkeit Def.: eine Formel ϕ heißt erfüllbar, wennesein I gibt, so dass I = ϕ

Mehr

Formale Systeme. Binary Decision Diagrams. Prof. Dr. Bernhard Beckert WS 2010/2011 KIT INSTITUT FÜR THEORETISCHE INFORMATIK

Formale Systeme. Binary Decision Diagrams. Prof. Dr. Bernhard Beckert WS 2010/2011 KIT INSTITUT FÜR THEORETISCHE INFORMATIK Formale Systeme Prof. Dr. Bernhard Beckert WS / KIT INSTITUT FÜR THEORETISCHE INFORMATIK KIT University of the State of Baden-Württemberg and National Large-scale Research Center of the Helmholtz Association

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

P1-63,64,65: Schaltlogik

P1-63,64,65: Schaltlogik Physikalisches Anfängerpraktikum (P1) P1-63,64,65: Schaltlogik Matthias Ernst (Gruppe Mo-24) Karlsruhe, 14.12.2009 Ziel des Versuchs ist ein erster Kontakt mit nichtprogrammierbaren Schaltungen, deren

Mehr

7 Grundlagen der Digitaltechnik

7 Grundlagen der Digitaltechnik 7 Grundlagen der Digitaltechnik 7.1 Die logischen Grundfunktionen Logik-Zustände, 0 oder 1, beschreiben mathematisches Verhalten einer digitalen Schaltung. Logik-Pegel, H oder L, beschreiben physikalisches

Mehr

Kurs 01608 Computersysteme I. Autoren: Prof. Dr. J. Keller, Prof. Dr. W. Schiffmann. Kurseinheiten 1 4

Kurs 01608 Computersysteme I. Autoren: Prof. Dr. J. Keller, Prof. Dr. W. Schiffmann. Kurseinheiten 1 4 Kurs 01608 Computersysteme I Autoren: Prof. Dr. J. Keller, Prof. Dr. W. Schiffmann Kurseinheiten 1 4 Copyright FernUniversität 2008 Vorwort Allgemeines Wir begrüßen Sie herzlich zum Kurs 01608 Computersysteme

Mehr

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung...1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik Prof. Karl Brunner: Raum E99, Tel. 5898, brunner@physik.uni-wuerzburg.de 7. Digitaltechnik. Logische Grundfunktionen 2. Realisierung

Mehr

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell: inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen

Mehr

Entscheidungstabellen zur Testdatenermittlung

Entscheidungstabellen zur Testdatenermittlung Entscheidungstabellen zur Testdatenermittlung ÜBERBLICK... 2 ERSTELLUNG VON ENTSCHEIUNGSTABELLEN... 2. AUFBAU VON ENTSCHEIUNGSTABELLEN... 2.2 BEISPIEL KFZ-VERSICHERUNG... 2 2.3 VARIANTEN... 2 3 VALIIERUNG

Mehr

Darstellung von Informationen

Darstellung von Informationen Darstellung von Informationen Bit, Byte, Speicherzelle und rbeitsspeicher Boolesche Operationen, Gatter, Schaltkreis Bit Speicher (Flipflop) Binär- Hexadezimal und Dezimalzahlensystem, Umrechnungen Zweierkomplement

Mehr

Binäre Suchbäume (binary search trees, kurz: bst)

Binäre Suchbäume (binary search trees, kurz: bst) Binäre Suchbäume (binary search trees, kurz: bst) Datenstruktur zum Speichern einer endlichen Menge M von Zahlen. Genauer: Binärbaum T mit n := M Knoten Jeder Knoten v von T ist mit einer Zahl m v M markiert.

Mehr

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung... 1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

Digitaltechnik. Digitaltechnik, Addierer Gottfried Straube Fjeldså, Steinerskolen i Stavanger

Digitaltechnik. Digitaltechnik, Addierer Gottfried Straube Fjeldså, Steinerskolen i Stavanger Digitaltechnik 1 Tags Autor/Impressum Geeignet für Klassenstufe Pädagogische Ziele Pädagogischer Hintergrund Nötige Vorbereitungen Hilfsmittel Involvierte Fächer Digitaltechnik, Addierer Gottfried Straube

Mehr

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital Digitaltechnik DT1 - Zusammenfassung (v2.0 / Januar 2013) Seite 1 von 8 1 Digital vs. Analog 1.1 Analog Die reale Welt ist analog (z.b. Sinnesorgane) Die Analoge Verarbeitung stellt das Ergebnis einer

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

Grundlagen der Betriebssysteme

Grundlagen der Betriebssysteme Grundlagen der Betriebssysteme [CS2100] Sommersemester 2014 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 2 Zahlendarstellungen

Mehr

Wir benutzen im nachfolgenden Versuch ein PLA zur Implementierung zweier boolscher Funktionen. Dazu einige Vorüberlegungen.

Wir benutzen im nachfolgenden Versuch ein PLA zur Implementierung zweier boolscher Funktionen. Dazu einige Vorüberlegungen. Kapitel 3 Programmable Logic Array (PLA) Die Idee eines PLA ist, dass bei der Chipherstellung ein homogenes Feld von Transistoren erzeugt wird. Die eigentliche Funktionalität wird dann durch Konfiguration

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

Karl-Heinz Loch. Technische Informatik mit LOCAD2002

Karl-Heinz Loch. Technische Informatik mit LOCAD2002 Karl-Heinz Loch Technische Informatik mit LOCAD2002 1 Technische Informatik mit LOCAD2002 2002 Karl-Heinz Loch Weinesch 16 41812 Erkelenz Alle Rechte vorbehalten. 2 Vorwort zur ersten Auflage Dieses Buch

Mehr

1. Grundlagen der Informatik Zahlensysteme und interne Informationsdarstellung

1. Grundlagen der Informatik Zahlensysteme und interne Informationsdarstellung 1. Grundlagen der Informatik Zahlensysteme und interne Informationsdarstellung Inhalt Grundlagen digitaler Systeme Boolesche Algebra / Aussagenlogik Organisation und Architektur von Rechnern Algorithmen,

Mehr

Institut für Mess- und Regelungstechnik Prof. Dr.-Ing. C. Stiller Universität Karlsruhe (TH) Digitaltechnik

Institut für Mess- und Regelungstechnik Prof. Dr.-Ing. C. Stiller Universität Karlsruhe (TH) Digitaltechnik Institut für Mess- und Regelungstechnik Prof. r.-ing.. Stiller Universität Karlsruhe (H) igitaltechnik H. ltmann, H. lessing, H. urkhardt und. Pérard Kurzbeschreibung igitale Geräte erscheinen auf den

Mehr

AND OR NOT NAND NOR XNOR XOR A B Y 0 0 1 0 1 1 1 0 1 1 1 0

AND OR NOT NAND NOR XNOR XOR A B Y 0 0 1 0 1 1 1 0 1 1 1 0 1. Grundlagen Binär: Es existieren nur zwei definierte Zustände (0, 1), (L, H), (0V, 5V), Redundanz: Anzahl notwendige Bitstellen, um Zustände zu kodieren: Z = 2n (n=anzahl Bits) Kontinuierlich Diskret

Mehr

Digitale Elektronik, Schaltlogik

Digitale Elektronik, Schaltlogik Physikalisches Anfängerpraktikum 1 Gruppe Mo-16 Wintersemester 2005/06 Jens Küchenmeister (1253810) Versuch: P1-64 Digitale Elektronik, Schaltlogik - Vorbereitung - Die Grundlage unserer modernen Welt

Mehr

Kombinatorische Schaltungen. Sequentielle Schaltungen

Kombinatorische Schaltungen. Sequentielle Schaltungen Kombinatorische Schaltungen. Grundlagen 2. Statische und dynamische Parameter 3. Kombinatorische Standardschaltungen 4. Technische Realisierungsprinzipien Sequentielle Schaltungen 5. Grundlagen sequentieller

Mehr

Einfache Schaltwerke gehen nur bis zu einer bestimmten Zahl von Zuständen. Was macht mann dann?

Einfache Schaltwerke gehen nur bis zu einer bestimmten Zahl von Zuständen. Was macht mann dann? Prüfungsprotokoll Technische Informatik 1707/1708 Datum: 21.08.2007 Prüfer: Prof. Schiffmann Note: 1,3 (freu, freu, freu!!!) Boolsche Funktionen Wie lautet die Funktionsvorschrift für die XOR Funktion?

Mehr

Einführung in die Logikminimierung

Einführung in die Logikminimierung Einführung in die Logikminimierung In diesem Kapitel soll die Grundlage für das Verständnis des Inhalts der entwickelten Lernsoftware gebildet werden. Das Thema Logikminimierung wird in einen Gesamtkontext

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Mag. Christian Gürtler Programmierung Grundlagen der Informatik 2011 Inhaltsverzeichnis I. Allgemeines 3 1. Zahlensysteme 4 1.1. ganze Zahlen...................................... 4 1.1.1. Umrechnungen.................................

Mehr

Zusammenfassung. 1 Wir betrachten die folgende Signatur F = {+,,, 0, 1} sodass. 3 Wir betrachten die Gleichungen E. 4 Dann gilt E 1 + x 1

Zusammenfassung. 1 Wir betrachten die folgende Signatur F = {+,,, 0, 1} sodass. 3 Wir betrachten die Gleichungen E. 4 Dann gilt E 1 + x 1 Zusammenfassung Zusammenfassung der letzten LV Einführung in die Theoretische Informatik Woche 7 Harald Zankl Institut für Informatik @ UIBK Wintersemester 2014/2015 1 Wir betrachten die folgende Signatur

Mehr

64-040 Modul IP7: Rechnerstrukturen

64-040 Modul IP7: Rechnerstrukturen 64-4 Modul IP7: 7. Schaltfunktionen und Schaltnetze Norman Hendrich Universität Hamburg MIN Fakultät, Department Informatik Vogt-Kölln-Str. 3, D-22527 Hamburg hendrich@informatik.uni-hamburg.de WS 23/24

Mehr

Bitte in Druckschrift ausfüllen: Nachname: Vorname: Fachbereich: Matrikelnummer: Geheimwort: Bitte nicht ausfüllen:

Bitte in Druckschrift ausfüllen: Nachname: Vorname: Fachbereich: Matrikelnummer: Geheimwort: Bitte nicht ausfüllen: Prof. Dr. B. Seeger Klausur zur Informatik IIIa WS 99/00 Martin Schneider Beginn: Ende: 8:15 Uhr 10:45 Uhr Bitte in Druckschrift ausfüllen: Nachname: Fachbereich: Matrikelnummer: Geheimwort: Tragen Sie

Mehr

Zahlensysteme. Digitale Rechner speichern Daten im Dualsystem 435 dez = 1100110011 binär

Zahlensysteme. Digitale Rechner speichern Daten im Dualsystem 435 dez = 1100110011 binär Zahlensysteme Menschen nutzen zur Angabe von Werten und zum Rechnen vorzugsweise das Dezimalsystem Beispiel 435 Fische aus dem Teich gefischt, d.h. 4 10 2 + 3 10 1 +5 10 0 Digitale Rechner speichern Daten

Mehr

Einführung in die Digitaltechnik. Grundlagen für den DigitalSimulator by Andreas Herz FreeGroup

Einführung in die Digitaltechnik. Grundlagen für den DigitalSimulator by Andreas Herz FreeGroup Einführung in die Digitaltechnik Grundlagen für den DigitalSimulator by Andreas Herz FreeGroup 22 ANDREAS HERZ Alle Rechte vorbehalten Die in diesem Buch veröffentlichten Beiträge, insbesondere alle Aufsätze

Mehr

Digitale Synthese. 2.1 Algorithmensynthese. Beispiel für einen Algorithmus Abhängigkeitsgraph Schleifen Bedingte Verzweigungen Schritte der

Digitale Synthese. 2.1 Algorithmensynthese. Beispiel für einen Algorithmus Abhängigkeitsgraph Schleifen Bedingte Verzweigungen Schritte der 2.1 Algorithmensynthese Algorithmensynthese Digitale Synthese Algorithmensynthese Beispiel für einen Algorithmus Abhängigkeitsgraph Schleifen Bedingte Verzweigungen Schritte der Algorithmensynthese Takt

Mehr

bereits in A,3 und A.4: Betrachtung von Addierschaltungen als Beispiele für Schaltnetze und Schaltwerke

bereits in A,3 und A.4: Betrachtung von Addierschaltungen als Beispiele für Schaltnetze und Schaltwerke Rechnerarithmetik Rechnerarithmetik 22 Prof. Dr. Rainer Manthey Informatik II Übersicht bereits in A,3 und A.4: Betrachtung von Addierschaltungen als Beispiele für Schaltnetze und Schaltwerke in diesem

Mehr

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929)

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929) Physikalisches Anfängerpraktikum 1 Gruppe Mo-16 Wintersemester 2005/06 Julian Merkert (1229929) Versuch: P1-64 Schaltlogik - Vorbereitung - Vorbemerkung In diesem Versuch geht es darum, die Grundlagen

Mehr

V09: Logische Gatter

V09: Logische Gatter Elektronikpraktikum im WS 2010/11 Universität Stuttgart Protokoll zum Versuch Stephan Ludwig, Nicolai Lang 2. Januar 2011 Zusammenfassung Der folgende Versuch befasst sich mit der Funktionsweise von NAND-Gattern

Mehr

Was bisher geschah. Aufgaben: Diagnose, Entscheidungsunterstützung Aufbau Komponenten und Funktion

Was bisher geschah. Aufgaben: Diagnose, Entscheidungsunterstützung Aufbau Komponenten und Funktion Was bisher geschah Daten, Information, Wissen explizites und implizites Wissen Wissensrepräsentation und -verarbeitung: Wissensbasis Kontextwissen Problemdarstellung fallspezifisches Wissen repräsentiert

Mehr

Schaltlogik Versuch P1-63,64,65

Schaltlogik Versuch P1-63,64,65 Vorbereitung Schaltlogik Versuch 1-63,64,65 Iris onradi Gruppe Mo-02 23. Oktober 2010 In diesem Versuch sollen die Grundlagen der digitalen Elektronik erarbeitet werden. Das der Schaltlogik zugrunde liegende

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik raktikum lassische hysik I Versuchsvorbereitung: 1-63, 64, 65: Schaltlogik hristian untin Gruppe Mo-11 arlsruhe, 26. Oktober 2009 Ausgehend von einfachen Logikgattern wird die Funktionsweise von Addierern,

Mehr