12 VHDL Einführung (III)

Größe: px
Ab Seite anzeigen:

Download "12 VHDL Einführung (III)"

Transkript

1 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L' 'H' '-' Bedeutung Nicht initialisiert Undefiniert Starke logische '0' Starke logische '1' Hochohmig 'Z' Schwach unbekannt Schwache logische '0' Schwache logische '1' Don't-Care Verwendung Nicht initialisiertes Signal im Simulator Simulator erkennt mehr als einen aktiven Signaltreiber (Buskonflikt) entspricht '0' eines bit-signals entspricht '1' eines bit-signals Tri-State Ausgang Simulator erkennt Buskonflikt zwischen 'L' und 'H' Ausgang mit Pull-Down Widerstand (Open Source) Ausgang mit Pull-Up Widerstand (Open Drain) Logikzustand bedeutungslos; kann für Minimierung verwendet werden DIGITALTECHNIK 12-1

2 Welcher Unterschied besteht zwischen diesen beiden Datentypen? Signale vom Typ std_ulogic dürfen maximal einen Signaltreiber (Prozess, bzw. nebenläufige Anweisung) besitzen (unresolved logic). Signale vom Typ std_logic dürfen mehrere Signaltreiber besitzen. Dies entspricht dem Verbinden mehrerer Gatterausgänge. Mögliche Signalkonflikte der Treiber werden durch eine Auflösungsfunktion gelöst (resolved logic). Beispiele: Konflikte zwischen '0' und '1' ergeben ein undefiniertes Signal 'X'. Wenn EIN Signal (noch) undefiniert ist, so ist das Ergebnis der Verbindung immer undefiniert 'U'. Wenn EIN Signal hochohmig 'Z' ist, so ist das Ergebnis der Verknüpfung immer durch den anderen Signalwert gegeben. std_logic Auflösungsfunktion 'U' 'X' '0' '1' 'Z' 'W' 'L' 'H' '-' 'U' 'U' 'U' 'U' 'U' 'U' 'U' 'U' 'U' 'U' 'X' 'U' 'X' 'X' 'X' 'X' 'X' 'X' 'X' 'X' '0' 'U' 'X' '0' 'X' '0' '0' '0' '0' 'X' '1' 'U' 'X' 'X' '1' '1' '1' '1' '1' 'X' 'Z' 'U' 'X' '0' '1' 'Z' 'W' 'L' 'H' 'X' 'W' 'U' 'X' '0' '1' 'W' 'W' 'W' 'W' 'X' 'L' 'U' 'X' '0' '1' 'L' 'W' 'L' 'W' 'X' 'H' 'U' 'X' '0' '1' 'H' 'W' 'W' 'H' 'X' '-' 'U' 'X' 'X' 'X' 'X' 'X' 'X' 'X' 'X' DIGITALTECHNIK 12-2

3 12.2 Realisierung von Tri-State Ausgangsstufen Neben 1-Bit Signalen existieren auch die vektoriellen Signaltypen std_logic_vector und std_ulogic_vector (vgl. bit bit_vector). Der VHDL-Code erfordert die Einbindung der IEEE-Bibliothek (library- und use-anweisungen) vor jeder entity, in der die Datentypen std_logic oder std_ulogic verwendet werden: -- 4-Bit Tri-State Treiber library ieee; use ieee.std_logic_1164.all; entity TSBUF is port( EN: in bit; E: in std_logic_vector(3 downto 0); Y: out std_logic_vector(3 downto 0)); end TSBUF; architecture VERHALTEN of TSBUF is Y <= E when EN='1' else "ZZZZ"; end VERHALTEN; DIGITALTECHNIK 12-3

4 Tri-State Treiber in komplexeren Schaltungen D-Flipflop mit Tri-State Ausgang: library ieee; use ieee.std_logic_1164.all; entity DFF_EN is port( CLK, RESET, ENABLE: in bit; D: in std_ulogic; Q: out std_ulogic); end DFF_EN; Ziel: Es soll EIN Flipflop mit Tri-State Treiber am Ausgang modelliert werden DIGITALTECHNIK 12-4

5 Flipflop mit Tri-State-Ausgang: 2 Architekturvarianten Tri-State Treiber im Prozess integriert architecture ARCH1 of DFF_EN is FLIPFLOP: process(clk, RESET) if RESET = '1' then Q <= '0'; elsif CLK ='1' and CLK'event then if ENABLE='1' then Q <= D; else Q <='Z'; end process FLIPFLOP; end ARCH1; Tri-State Treiber als extra Prozess, Kopplung über temporäres Signal architecture ARCH2 of DFF_EN is signal TEMP: std_ulogic; FLIPFLOP: process(clk, RESET) if RESET = '1' then TEMP <= '0'; elsif CLK ='1' and CLK'event then TEMP <= D; end process FLIPFLOP; TSBUF: process(temp,enable) if ENABLE='1' then Q <= TEMP; else Q <= 'Z'; end process TSBUF; end ARCH2; DIGITALTECHNIK 12-5

6 Flipflop mit Tri-State-Ausgang: Syntheseergebnisse ARCH1: ARCH2: In ARCH1 wird für das Freigabesignal ein ungewolltes Flipflop inferriert. Grund dafür ist die Codierung des Tri-State-Treibers innerhalb der Flipflop Beschreibung (elsif CLK event). Fazit: In komplexeren Schaltungen sollten Tri-State Treiber als unabhängiger Prozess bzw. nebenläufige Signalzuweisung eines temporären Signals formuliert werden. DIGITALTECHNIK 12-6

7 Bidirektionale Ankopplung von Busteilnehmern entity architecture ENABLE BUS Schaltnetze bzw. Schaltwerke IN_I Bustreiber I_O OUT_I Schaltplan eines 2-Bit breiten Bustreibers: DIGITALTECHNIK 12-7

8 VHDL-Code des Bustreibers --Bidirektionaler Bustreiber mit Tri-State Ausgaengen. library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity BUSTREIBER is port( ENABLE : in bit; I_O : inout std_logic_vector(1 downto 0);-- Bidirekt. Anschluss OUT_I : in std_ulogic_vector(1 downto 0); IN_I : out std_ulogic_vector(1 downto 0)); end BUSTREIBER; architecture TRI_STATE_OUT of BUSTREIBER is WRITE:process(ENABLE, OUT_I) -- Gesteuerter Schreibvorgang if ENABLE = '1' then I_O <= To_StdLogicVector(OUT_I); else I_O <= (others => 'Z'); end process WRITE; READ: IN_I <= To_StdULogicVector(I_O); -- Paralleler Lesevorgang end TRI_STATE_OUT; DIGITALTECHNIK 12-8

9 Betriebszustand Zeitintervalle ns ns ns ns ns Tri-State Treiber IO out Hochohmiger Zustand; OUT_I wird blockiert OUT_I Pegel werden übertragen ns Hochohmiger Zustand; OUT_I wird blockiert Buszustand I_O Hochohmig; kein Sender am Bus aktiv Kein externer Sender; Signalübernahme: I_O = OUT_I Simulatorstimuli als externe Sender an I_O Eingangssignal IN_I "ZZ" wird empfangen Signalrückführung: IN_I = OUT_I Signalübernahme: IN_I = I_O DIGITALTECHNIK 12-9

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Hardware/Software Co-Design

Hardware/Software Co-Design Hardware/Software Co-Design Kapitel : Logiksynthese und VHDL-Einführung Mario Schölzel Geschichte von VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very-High Speed Integrated Circuits Entwickelt

Mehr

1 Grundlagen von VHDL

1 Grundlagen von VHDL TI 2 - Zusammenfassung 1 1 Grundlagen von VHDL entity Die entity deklariert die externe Schnittstelle. Es werden die elektrischen Signale (PORTS) und die zahlenmäßigen (GENERICS) Signale beschrieben. Jeder

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Systembeschreibung und Entwurf

Systembeschreibung und Entwurf Systembeschreibung und Entwurf Systemspezifikation mit Hardwarebeschreibungssprachen Bernhard Hoppe Thomas Schumann Hochschule Darmstadt University of Applied Sciences Inhaltsverzeichnis Inhaltsverzeichnis

Mehr

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes Verifikation Dr. Wolfgang Günther Verifikation 2 Wozu Verifikation? Produktivität im ASIC Entwurf Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des

Mehr

Übungsaufgaben mit Lösungen zur 6. Auflage

Übungsaufgaben mit Lösungen zur 6. Auflage Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen zur 6. uflage Zu den einzelnen Kapiteln sind Übungsaufgaben angegeben. Einige enthalten die Lösung in Kurzform. Sie finden die ausführlichen Musterlösungen

Mehr

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 3 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 3: Themen Hardware-Beschreibungssprachen Syntax von VHDL Simulation Synthese Testrahmen

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

VHDL-Syntax F. Schubert 09/08. Prof. Dr. F. Schubert. Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX. 09/2008 Sbr

VHDL-Syntax F. Schubert 09/08. Prof. Dr. F. Schubert. Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX. 09/2008 Sbr Prof. Dr. F. Schubert Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX 09/2008 Sbr Erläuterungen: Groß geschrieben: Selbstdefinierte Namen z.b. VADD, CARRY_IN, TD Klein geschrieben und fett

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

10 Übungsaufgaben mit Lösungen

10 Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen Zu den einzelnen Kapiteln sind zahlreiche Übungsaufgaben mit ausführlichen Musterlösungen angegeben. Der Leser soll möglichst die Aufgaben selbständig lösen und anschließend

Mehr

Hardwarepraktikum WS05/06

Hardwarepraktikum WS05/06 Hardwarepraktikum WS5/6 Sven Eckelmann 2..26 Inhaltsverzeichnis Versuch Komb. NANDNANDRealisierung.......................2 NORNORRealisierung.........................3 Schaltung................................

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

VHDL Syntax V1.0. F. Wolf

VHDL Syntax V1.0. F. Wolf VHDL Syntax V1.0 Graz, Februar 2002 Inhaltsverzeichnis 1 Einführung 3 2 Kurze Beschreibung der Design-Einheiten 4 2.1 Schnittstellenbeschreibung (Entity) 4 2.2 Architektur (Architecture) 5 2.3 Konfiguration

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Welches ist die Zustandscodierung mit der schnellsten und/oder kostengünstigsten Lösung?

Welches ist die Zustandscodierung mit der schnellsten und/oder kostengünstigsten Lösung? 6 Zustandscodierung Bisher sind Zustandscodierungen ohne weitere Diskussion van alternativen und deren Auswirkung auf den Entwurf genutzt worden. In einem Automaten mit den vier Zuständen S0, S1, S2, S3,

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Vorlesung und Übungen Ausgabe 0.4, 18.12.2013 Autor: Stephan Rupp S. Rupp, 2013 T2ELN3602, T2ELA2652, T2MT3162 1/101 S. Rupp, 2013 T2ELN3602, T2ELA2652, T2MT3162 2/101 Inhaltsverzeichnis

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Teil C Anwendung von VHDL

Teil C Anwendung von VHDL Teil C Anwendung von VHDL G. Lehmann/B. Wunder/M. Selz 229 1 Simulation 1.1 Überblick Die Simulation dient im allgemeinen der Verifikation von Entwurfsschritten. Bei einer Designmethodik mit VHDL unter

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

1 Hardwareentwurf. 1.1 Grundlagen

1 Hardwareentwurf. 1.1 Grundlagen 1 Hardwareentwurf 1.1 Grundlagen POSITIVE natürliche Zahlen N NATURAL N 0 INTEGER ganze Zahlen Z REAL reelle Zahlen R BOOLEAN (true, false), (low, high) BIT ( 0, 1 ) CHARACTER (..., A, B,..., a, b,...,

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

Eine elektronische Schaltung von der Idee bis zum Einbau am Experiment

Eine elektronische Schaltung von der Idee bis zum Einbau am Experiment Eine elektronische Schaltung von der Idee bis zum Einbau am Experiment H. Leich: Einführung Schaltungsentwicklung Layout-Bearbeitung W. Philipp Technologische Umsetzung 6/14/2005 Technical seminar June

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

Praktium Systementwurf mit VHDL HDL Design Lab. Lehrstuhl für Entwurfsautomatisierung Technische Universität München

Praktium Systementwurf mit VHDL HDL Design Lab. Lehrstuhl für Entwurfsautomatisierung Technische Universität München Praktium Systementwurf mit VHDL HDL Design Lab Lehrstuhl für Entwurfsautomatisierung Technische Universität München 1 Das Projekt: Verschlüsselung Entschlüsselung 2 Hardware-Emulation Oszillograph Signalgenerator

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Entwurf digitaler Schaltungen mit Hochsprachen (VHDL)

Entwurf digitaler Schaltungen mit Hochsprachen (VHDL) Entwurf digitaler Schaltungen mit Hochsprachen (VHDL) Einführung in die Hardwarebeschreibungssprache VHDL (Text/Graphik) sowie Schaltungssynthese bw. -optimierung F. Stockmayer Inhaltsverzeichnis Inhaltsverzeichnis

Mehr

Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese

Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese ZÜRCHER HOCHSCHULE FÜR ANGEWANDTE WISSENSCHAFTEN INSTITUTE OF EMBEDDED SYSTEMS Autoren: Hans-Joachim Gelke Letzte Änderung: 24. Februar

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Implementierung einer 4-Bit MiniCPU in VHDL auf einem FPGA

Implementierung einer 4-Bit MiniCPU in VHDL auf einem FPGA Fachbereich 4: Institut für Informatik Implementierung einer 4-Bit MiniCPU in VHDL auf einem FPGA Studienarbeit im Studiengang Informatik vorgelegt von Christopher Israel Marcel Jakobs 205110288 204210335

Mehr

VHDL Design Guidelines Interstaatliche Hochschule für Technik Buchs

VHDL Design Guidelines Interstaatliche Hochschule für Technik Buchs VHDL Design Guidelines Interstaatliche Hochschule für Technik Buchs Version 2.0.3 Beta, 20. Februar 2012 Inhaltsverzeichnis Inhaltsverzeichnis... 1 Revisionen... 2 Quickstart... 3 Guidelines Stufe I...

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Einführung in ModelSim

Einführung in ModelSim Einführung in Version 0.5 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum Verantwortlicher Änderungsgrund

Mehr

Einführung in den HDL- gestützten Schaltungsentwurf Einführung in VHDL

Einführung in den HDL- gestützten Schaltungsentwurf Einführung in VHDL Einführung in den HDLgestützten Schaltungsentwurf Einführung in VHDL Ablauf des Entwurfs Spezifikation golden specification Funktionale Simulation Testbench Logiksynthese Gate-Level Simulation Formale

Mehr

Hardware Synthese mit VHDL

Hardware Synthese mit VHDL Hardware Synthese mit VHDL Thomas Schanz, Christoph Tenzer IAAT - Universität Tübingen September 2004 Vorwort Dieses Dokument entstand am Rande der wissenschaftlichen Arbeit der Verfasser am IAAT der

Mehr

Im Innersten besteht jede digitale Hardwareschaltung aus kombinatorischer Logik und speichernden Elementen.

Im Innersten besteht jede digitale Hardwareschaltung aus kombinatorischer Logik und speichernden Elementen. VHDL Wikibooks.org 16. Februar 2012 Inhaltsverzeichnis 0.1 EINFÜHRUNG.......................................... 1 0.2 BASIS-KONSTRUKTE...................................... 5 0.3 OPERATOR PRECEDENCE...................................

Mehr

CPLDs. Vom Platinenlayout zum ersten Projekt. Jakob Holderbaum. Erstellt am 9. August 2008. Berufskolleg Olsberg Paul-Oeventrop Str.

CPLDs. Vom Platinenlayout zum ersten Projekt. Jakob Holderbaum. Erstellt am 9. August 2008. Berufskolleg Olsberg Paul-Oeventrop Str. CPLDs Vom Platinenlayout zum ersten Projekt Jakob Holderbaum Erstellt am 9. August 2008 Berufskolleg Olsberg Paul-Oeventrop Str. 7 59939 Olsberg Inhaltsverzeichnis 1 Inhaltsverzeichnis 1 Vorwort 3 2 Programmierbare

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & Bipolartransistor (20 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & Bipolartransistor (20 Punkte) ufgabe : Mikroelektronische Grundlagen & ipolartransistor (2 Punkte) Hinweis: Die ufgabenpunkte. bis.5 können unabhängig voneinander gelöst werden! ei Multiple-Choice ufgaben können mehrere ntworten richtig

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Erfahrungen mit VHDL-AMS bei der Simulation heterogener Systeme

Erfahrungen mit VHDL-AMS bei der Simulation heterogener Systeme Erfahrungen mit VHDL-AMS bei der Simulation heterogener Systeme Peter Trappe Joachim Haase Peter Schwarz Wolfgang Vermeiren Inhalt Einleitung - Was ist VHDL-AMS? Beschreibung digitaler Systeme Beschreibung

Mehr

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I Hardwarepraktikum W 997/98 hemnitz, 8.03.09 Hardwarepraktikum W 997/98 Versuch 4 equentielle ysteme I Jan Horbach, 758 hris Hübsch, 7543 Lars Jordan, 7560 eite Hardwarepraktikum W 997/98 hemnitz, 8.03.09

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Crashkurs VHDL FH München, FB 06

Crashkurs VHDL FH München, FB 06 Crashkurs VHDL FH München, FB 06 1 Einleitung... 2 2 Signale, Typen und Vektoren... 3 2.1 Konventionen in VHDL... 4 2.1.1 Namensregeln... 4 2.1.2 Kommentare... 4 2.1.3 Zuweisungen... 4 2.2 Typologie...

Mehr

Signalzuweisungen können nebenläufig sein oder als sequentielle Anweisungen innerhalb von Prozessen, Funktionen oder Prozeduren stehen.

Signalzuweisungen können nebenläufig sein oder als sequentielle Anweisungen innerhalb von Prozessen, Funktionen oder Prozeduren stehen. 6 Verhaltensmodellierung 6.3 Signalzuweisungen und Verzögerungsmodelle Die wohl wichtigste Anweisung in VHDL ist die Zuweisung von neuen Werten an Signale. Signale dienen als Informationsträger innerhalb

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Elektronik und Digitaltechnik - Digital (EDT)

Elektronik und Digitaltechnik - Digital (EDT) Elektronik und Digitaltechnik - Digital (EDT) Schule: HTBLuVA St. Pölten Abteilung / Zweig: Elektronik / Technische Informatik Lehrperson: Dipl.-Ing. Gerald Gruber Jahrgang: 2006 / 07 Klasse: 5AHELI 1

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr. Jürgen Reichardt, Oldenbourg Verlag München Inhaltsverzeichnis Vorwort V 1 Einleitung 1 1.1 Die Hardwarebeschreibungssprache VHDL 3 1.2 Digitale

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Übungen Architektur Eingebetteter Systeme

Übungen Architektur Eingebetteter Systeme Universität Ulm Institut für Eingebettete Systeme und Echtzeitsysteme Übungen Architektur Eingebetteter Systeme Dipl.-Ing. Tobias Bund SS 2010 Inhaltsverzeichnis 1 Ziel der Übungen..............................................

Mehr

Programmierbare Logik

Programmierbare Logik Programmierbare Logik Ein Überblick über programmierbare logische Bausteine TU Berlin FG emsp 1 Einleitung Verschiedene Realisierungsmöglichkeiten von logischen Zusammenhängen 1. Kombination von einfachen

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009 Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer 19. Februar 2009 Fachbereich 12: Informatik und Mathematik Institut für

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Prof. Dr.-Ing. Sorin A. Huss Fachbereich Informatik Integrierte Schaltungen und Systeme SS 2009 Integrierte Schaltungen und Systeme Einführung

Mehr

Modernes Schaltungsdesign. FPGA Praktikum

Modernes Schaltungsdesign. FPGA Praktikum Modernes Schaltungsdesign FPGA Praktikum am II. Physikalischen Institut Justus-Liebig-Universität Gießen Stand 17-11-2004 5 Einleitung Das Praktikum beginnt mit einer Einführungsvorlesung. Die Themen dieser

Mehr

Verifikation. ECS Group, TU Wien

Verifikation. ECS Group, TU Wien Verifikation ECS Group, TU Wien Überblick Abstraktionsebenen Testbench Modelsim Überblick Hardware Modeling Hardware Specification Functional Specification High Level Requirements Detailed Design Description

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack)

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack) Dies ist nun also die freundlicherweise von mir mitgetippte Fassung der Vorlesung Digitaltechnik (2. Semester) bei Hr. Schillack an der BA-Mannheim. Ich hoffe ihr könnt damit was anfangen. Fehler, Kritik,

Mehr

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Dipl.-Ing. (FH) Alexander Weidel A M S Software GmbH Dipl.-Ing. (FH) Christoph Landmann, M.Sc. National Instruments Germany

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Digitaltechnik - Zusammenfassung

Digitaltechnik - Zusammenfassung Digitaltechnik - Zusammenfassung Patrick Pletscher 9. September 2004 1 VHDL 1.1 VHDL Entities und Architectures VHDL Modelle bestehen aus mehreren Entities, jede Entity hat eine entity Deklaration und

Mehr

PCI VME Interface SIS1100/SIS3100

PCI VME Interface SIS1100/SIS3100 PCI VME Interface SIS1100/SIS3100 Peter Wüstner Forschungszentrum Jülich, Zentrallobor für Elektronik (ZEL) Designprinzip der im ZEL entwickelten Datenaufnahmesysteme ist es, preiswerte PC Technik mit

Mehr

Aktiven Diagnose Stecker

Aktiven Diagnose Stecker Dokumentation zum Aktiven Diagnose Stecker BMW AG München Seite 1 INHALTSVERZEICHNIS 1 EINLEITUNG 3 2 BESTELLUNTERLAGEN 4 3 AUFBAU 5 3.1 Blockschaltbild 5 3.2 Signalbeschreibung 6 3.3 Schaltplan 7 3.4

Mehr

2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins. 2.5 Boundary Scan (JTAG)

2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins. 2.5 Boundary Scan (JTAG) 2.5 Boundary Scan (JTAG) JTAG = Joint Test Action Group (für Boundary Scan verantwortliches Gremium) 2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins Boundary-Scan-Zelle 2.5. Überblick

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

VHDL. D. Neuhäuser, G. Grune (W. Koch) Einleitung. Entity. Architecture. Sequenziell Strukturell. Packages

VHDL. D. Neuhäuser, G. Grune (W. Koch) Einleitung. Entity. Architecture. Sequenziell Strukturell. Packages Beschreibung von Rechensystemen auf 6 Ebenen Algorithmische Ebene spezifiziert den Algorithmus zur Lösung eines Entwurfsproblems Dezember 2012 PMS-Ebene (Processor, Memory, Switch) beschreibt Rechner grob

Mehr

Wozu dient ein Logikanalysator?

Wozu dient ein Logikanalysator? Wozu dient ein Logikanalysator? Beispiel: Microcontroller Microcontroller kommen vor in Haushaltsgeräten (Waschmaschine,...) in Fahrzeugen (ABS, Motorsteuerung, Radio,...) in Computern (Tastatur, Festplatte,

Mehr

Entwicklung eines synthesefähigen 8-Bit RISC-Prozessors in VHDL CAE - Seminar - C. Koegst, M. Scheffler Semester: D7 WS 2000 Prof. Dr.

Entwicklung eines synthesefähigen 8-Bit RISC-Prozessors in VHDL CAE - Seminar - C. Koegst, M. Scheffler Semester: D7 WS 2000 Prof. Dr. Entwicklung eines synthesefähigen 8-Bit RISC-Prozessors in VHDL CAE - Seminar - C. Koegst, M. Scheffler Semester: D7 WS 2000 Prof. Dr. Reichardt, Prof. Dr. Schubert 1. Einleitung...1 2. Spezifikation...1

Mehr

Untersuchungen zur Implementierung digitaler Filter auf FPGAs. von Alfred Marganitz

Untersuchungen zur Implementierung digitaler Filter auf FPGAs. von Alfred Marganitz Untersuchungen ur Implementierung digitler Filter uf FPGAs von Alfred Mrgnit Alln V. Oppenheim, MIT: Zur digitlen Signlverrbeitung benötigt mn einen ADU, DAU und einen Signlproessor um dsselbe u erreichen,

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Einführung in Xilinx Webpack ISE 10.1

Einführung in Xilinx Webpack ISE 10.1 Einführung in Xilinx Webpack ISE 10.1 Diese Version beschreibt sowohl die Benutzung des Spartan2 als auch des Spartan3 Version Oktober 2010 Urs Graf 1 Installation... 3 2 Was ist das Webpack?... 4 2.1

Mehr

FPGA-Einführung und Hardwareentwurf mit VHDL. von Prof. Dr.-Ing. Dirk Rabe

FPGA-Einführung und Hardwareentwurf mit VHDL. von Prof. Dr.-Ing. Dirk Rabe FPGA-Einführung und Hardwareentwurf mit VHDL von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

VHDL Kompakt. Andreas Mäder. Universität Hamburg Fakultät für Mathematik, Informatik und Naturwissenschaften Fachbereich Informatik

VHDL Kompakt. Andreas Mäder. Universität Hamburg Fakultät für Mathematik, Informatik und Naturwissenschaften Fachbereich Informatik Universität Hamburg MIN-Fakultät Fachbereich Informatik VHDL Kompakt Andreas Mäder Universität Hamburg Fakultät für Mathematik, Informatik und Naturwissenschaften Fachbereich Informatik Technische Aspekte

Mehr

Digitaltechnik und Rechnerarchitektur Vorlesung Kapitel 3: Digitale Grundschaltungen FH Osnabrück

Digitaltechnik und Rechnerarchitektur Vorlesung Kapitel 3: Digitale Grundschaltungen FH Osnabrück igitaltechnik und echnerarchitektur Vorlesung Kapitel 3: igitale Grundschaltungen FH Osnabrück Prof. r.-ing. B. Lang. Version vom 27.3.3 Inhalt des Abschnitts 3 IGITALE GUNCHALTUNGEN... 3 3. MULTIPLEXE

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

INFORMATIONEN ZUR AUSBILDUNGSPRAXIS AUS MODELLVERSUCHEN

INFORMATIONEN ZUR AUSBILDUNGSPRAXIS AUS MODELLVERSUCHEN Bundesinstitut für Berufsbildung INFORMATIONEN ZUR AUSBILDUNGSPRAXIS AUS MODELLVERSUCHEN Institut für Mikroelektronik Stuttgart Elektro- Technologiezentrum Stuttgart Berufliche Bildungsstätte Tuttlingen

Mehr