Ein Debugger für ASIC-Prototypen

Größe: px
Ab Seite anzeigen:

Download "Ein Debugger für ASIC-Prototypen"

Transkript

1 Jürgen Haufe 1, Matthias Gulbins 1, Peter Schwarz 1, Christoph Fritsch 2, Jens Große 3 1 für 2 Bosch Telecom 3 SharcWare 1

2 Gliederung Motivation für Hardware-Debugging Anforderungen und Methode Architekturvarianten eines HW-Debuggers Debuggerkomponenten Designflow Ausblick 2

3 Motivation für Hardware-Debugging Trotz treten Fehler auf beim Prototyping und In-System-Test RT- und Gate-Level-Simulation Statischer Timing-Analyse Formaler Verification Entwurfsspekifikation nicht ausreichend RTL-Simulation nicht vollständig Verwendung von Third-Party-Cores: RTL-Modelle nicht verfügbar oder nicht korrekt Verifikationsumgebung nicht ausreichend Mehrtaktsysteme, asynchrone I/O usw. Derzeitige Lösungen Wünsche der Entwerfer Testpins und Logikanalysatoren,... Lange Simulationsläufe, teilweise auf Gate-Level während der ASIC-Prototyp in Echtzeit arbeitet: vollständige Sichtbarkeit der HW-internen Knoten vollständige Modifizierbarkeit der HW-internen Knoten 3

4 Anforderungen an Hardware-Debbugging läuft in Echtzeit Debugger läuft in Echtzeit, rückwirkunsfrei auf - Timing Trace und Breakpoint-Erkennung in Echtzeit Update-Daten Breakpoints System- Umgebung Debugger ist unabhängig von -Technologie, aber vorrangig gedacht für FPGAs Steuerung über Rechnerinterface geeignet für übliche Designflows Plug-and-Play - Lösung Host-Interface Trace-Daten (Register, RAM) -Board : Device Under Test 4

5 Methode: Trace-Mode : Device Under Test Fehler Systemumgebung 0 Echtzeit Debugger interface Fehler erkannt im Echtzeitumgebung HW- Debugger Abtastwerte Simulator-Initialisierung mit Abtastwerten Simulationsumgebung Simulator 0 eingesparte Simulationszeit Fehler erkannt in Simulation Fehleranalyse-Intervall Simulationszeit 5

6 Methode: Update-Mode : Device Under Test Systemumgebung 0 Echtzeit Debugger interface -Initialisierung mit Simulationsergebnissen Echtzeitumgebung HW- Debugger Simulationsumgebung Simulator 0 eingesparte Simulationszeit Fehler erkannt in Simulation Fehleranalyse-Intervall Simulationszeit 6

7 Architekturvarianten eines HW-Debuggers Basisstruktur -Datenregister Trace-Mode Schattenregisterkette(n) HW-Debug-Controller RAM Host-Interface 7

8 Architekturvarianten eines HW-Debuggers HW-Debugger getrennt vom Debugger-Interface seriell geringe Datenrate wenige Pins On-chip Debugger-Komponenten wenig Fläche Separates Debugger-Board möglich RAM HW debug controller Host interface Separates Debugger- Board System- Umgebung Trace/Update Breakpoint Debugger-Interface zeitkritisch 8

9 Architekturvarianten eines HW-Debuggers HW-Debugger integriert im Debugger-Interface seriell maximale Datenrate wenige Pins On-chip Debugger-Komponenten maximale Fläche Debugger-Core möglich RAM Host interface HW- Debug- Controller Debugger-Interface zeitkritisch Trace/Update Breakpoint System- Umgebung 9

10 Architekturvarianten eines HW-Debuggers HW-Debugger-Kernel im Debugger-Interface parallel optimale Datenrate maximale Pinanzahl On-chip Debugger-Komponenten optimale Fläche Separates Debugger-Board möglich RAM HW- Debug- Controller Host- Interface Debugger-Interface HW- Debug- Kernel zeitkritisch System- Umgebung Trace/Update Breakpoint 10

11 11 Scahttenzelle Debugger-Komponenten HW- Debug Kernel Trace/Update Breakpoint C1 d q qb clk d upd clk q qb si so cpt sc se en ce 1E en 1D C1 1E 1D C1 1E d upd clk si cpt sc se en ce q qb so 1D 1D 1S C1 2D 2S C2 2E 1E1 1E2

12 Debugger-Komponenten Breakpoint-Zelle HW debug kernel Trace/Update Breakpoint VHDL-Beispiel: if <breakcondition> then rtlbreak <= ë1í; else... end if; Register-Transfer-Level-Breakpoint = watched node gtlbreak Enable Reference Gate-Level-Breakpoint breakpoint cell path 12

13 Debugger-Komponenten On-chip Debugger-Kernel BoardReset HW- Debug- Kernel Trace/Update Breakpoint reset Update TraceOn TraceOff Read/Write Init Wait RAMinit Go Run+Trace Break TraceOff TraceOn Break Run 13

14 Debugger-Komponenten Debugger-Interface RAM Parallel Port RAM-Interface Data port Host-Interface Start signal go HW Debug- Controller Host- Interface Addr port Chip enable Mode trace Mode update HW- Debug Kernel Debugger-Interface 42 Pins Write enable Output enable User intervention Debugger busy Trace/Update Breakpoint break occurred 14

15 Designflow -Design.v(hd) optional RTLBreakInsert Synthesephase optional RTL Synthesis GTLBreakInsert GTL-Breakpoint-Zellen ShadowInsert Schattenzellen Linking HW-Debug-Kernel Place & Route Verifikationsphase HW2sim Steuerdaten Sim2HW Gate-Level-Simulation 15

16 Vorteil Nachteil Gegenwärtige Beschränkungen Ausblick erlaubt die Beobachtung und Modifikation aller Register eines ASIC-Prototypen technologie- und herstellerunabhängig rückwirkungsfrei echtzeitfähig Plug-and-Play -Lösung Debugger-Komponenten notwendig im Prototyp zusätzliche Pins notwendig keine Abbildung von Trace-Daten in das RT-Level keine Unterstützung von embedded RAM nur Eintaktsysteme keine kontinuierlicher I/O-Signalabttastung Beseitigung der gegenwärtigen Beschränkungen Separates Debugger-Board Ausblick 16

17 Ausblick Anwendungen Fehlersuche beim Prototyping Data Logging Fehleremulation begrenzte temporäre Schaltungsmodifikation ohne Redesign gezielte Zustandsänderung der Schaltungsregister IP-Core-Test im Schaltkreis elementarer SW-Debugger für Prozessor-Cores... 17

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Vortrag zum Hauptseminar Hardware/Software Co-Design

Vortrag zum Hauptseminar Hardware/Software Co-Design Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Vortrag zum Hauptseminar Hardware/Software Co-Design Robert Mißbach Dresden, 02.07.2008

Mehr

Configurable Embedded Systems

Configurable Embedded Systems Configurable Embedded Systems Prof. Dr. Sven-Hendrik Voß Wintersemester 2017 Technische Informatik (Master), Semester 2 Termin 3, 23.10.2017 Seite 2 Zynq Design Flow Configurable Embedded Systems Wintersemester

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Eingebettete Systeme. 4: Entwurf und Test. Technische Informatik T T T

Eingebettete Systeme. 4: Entwurf und Test. Technische Informatik T T T Eingebettete Systeme 4: Entwurf und est echnische Informatik Entwurf, Verifikation, est Begriffe Entwurfsstrategien Verifikation est echnische Informatik Eingebettete Systeme F 2016, Kap. 4 Begriffe: Verifikation/est

Mehr

STM32 - Schieberegister, SPI - u

STM32 - Schieberegister, SPI - u STM32 - Schieberegister, u23 2013 andy, florob, gordin, ike, meise, tobix, zakx e.v. http://koeln.ccc.de Cologne 2013-11-04 STM32 - Schieberegister, - u23 2013 1 Schieberegister Schieberegister Codebeispiel

Mehr

Open Source - Mikrokontroller für Mixed Signal ASIC

Open Source - Mikrokontroller für Mixed Signal ASIC Open Source - Mikrokontroller für Mixed Signal ASIC Embedded Computing Conference 30. August 2011 Michael Roth Ablauf Vorstellung IME Motivation Vorstellung einiger OpenSource Mikrokontroller Evaluation

Mehr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch 10.05.2017, 14:00 Uhr 1.1. Einführung In dieser Übung werden Sie ein VHDL-Modul

Mehr

CoreSight-Zugang auf dem ZedBoard. Alex Bereza

CoreSight-Zugang auf dem ZedBoard. Alex Bereza Alex Bereza Dresden, Gliederung 1. Aufgabenstellung 2. Das 3. CoreSight 4. Trace-Pakete 5. Implementierung des IP-Cores 6. Fazit 7. Quellen Folie Nr. 2 von 26 1. Aufgabenstellung ARM-Prozessor des verfügt

Mehr

Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO

Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO Dipl.-Ing. (FH) Christoph Landmann, M.Sc. Regional Product Engineer Automated Test National Instruments Germany GmbH Agenda Was ist Peer-To-Peer

Mehr

&R9HULILNDWLRQYRQ$50'HVLJQVPLW5LYLHUD,37

&R9HULILNDWLRQYRQ$50'HVLJQVPLW5LYLHUD,37 &R9HULILNDWLRQYRQ$50'HVLJQVPLW5LYLHUD,37 von Jaroslaw Kaczynski Technical Marketing Manager Advanced Product Group Aldec, Inc.,(LQI KUXQJ Embedded-Systeme enthalten üblicherweise einen Mikroprozessor sowie

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Vortrag zum Ergebnis der Literaturrecherche. Fehlerinjektion mittels Trace-Architektur auf einem Mips-Prozessor. Matthias Brinker

Vortrag zum Ergebnis der Literaturrecherche. Fehlerinjektion mittels Trace-Architektur auf einem Mips-Prozessor. Matthias Brinker Vortrag zum Ergebnis der Literaturrecherche Fehlerinjektion mittels Trace-Architektur auf einem Mips-Prozessor Matthias Brinker Dresden, 27.10.2016 Gliederung 1. Einleitung und Motivation 2. Trace-Architektur

Mehr

Lehrstuhl für Informatik 12 (Hardware-Software-Co-Design) Friedrich-Alexander-Universität Erlangen-Nürnberg Prof. Dr.-Ing. J.

Lehrstuhl für Informatik 12 (Hardware-Software-Co-Design) Friedrich-Alexander-Universität Erlangen-Nürnberg Prof. Dr.-Ing. J. Lehrstuhl für Informatik 12 (Hardware-Software-Co-Design) 1 Gliederung Hardware-Software-Co-Design: Entwurf eingebetteter Systeme Beispiele und Anwendungen: wachsende Komplexität zukünftiger elektronischer

Mehr

Vorläufige Dokumentation

Vorläufige Dokumentation PicoMOD1 Hardware Version 1.00 Datum 27.04.2006 by F & S Elektronik Systeme GmbH 2006 Vorläufige Dokumentation F & S Elektronik Systeme GmbH Untere Waldplätze 23 D-70569 Stuttgart Tel.: 0711/6772240 Fax:

Mehr

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Hardware PCI-Bus Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Hardware PCI-Bus 1/23 2008-08-06 Übersicht Inhalt:

Mehr

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Hardware PCI-Bus Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 Hardware PCI-Bus 1/23 2007-10-26 Übersicht Inhalt:

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Entwurf und Implementierung eines statischen Backbones für die Kommunikation mit dynamischen Nutzerpartitionen auf einem Multi-FPGA-Board

Entwurf und Implementierung eines statischen Backbones für die Kommunikation mit dynamischen Nutzerpartitionen auf einem Multi-FPGA-Board Zwischenvortag zur Studienarbeit Entwurf und Implementierung eines statischen Backbones für die Kommunikation mit dynamischen Nutzerpartitionen auf einem Multi-FPGA-Board Albert Schulz Dresden, 1 Gliederung

Mehr

Speaker. Dominik Helleberg. Mobile Development Android / Embedded Tools. http://dominik-helleberg.de/+

Speaker. Dominik Helleberg. Mobile Development Android / Embedded Tools. http://dominik-helleberg.de/+ Speaker Dominik Helleberg Mobile Development Android / Embedded Tools http://dominik-helleberg.de/+ Warum? Moderne Consumer UI Moderne Consumer UI http://fortheloveoftech.com/2014/10/01/windows-10-for-tablets-first-impressions-there-is-no-start-menu/

Mehr

Entwicklungsumgebung

Entwicklungsumgebung Entwicklungsumgebung Echtzeitsysteme 2 Vorlesung/Übung Fabian Scheler Lehrstuhl für Informatik IV Verteilte Systeme und Betriebssysteme Friedrich-Alexander Universität Erlangen-Nürnberg http://www4.cs.fau.de/~scheler

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Smart Metering: Entwicklung eines intelligenten Stromzählers

Smart Metering: Entwicklung eines intelligenten Stromzählers Smart Metering: Entwicklung eines intelligenten Stromzählers Boppard, Echtzeit 2010 Steffen Mauch Dirk Benyoucef 19. Nov. 2010 DCSP-Lab Gliederung 1 Kontext 2 Arbeit 3 Ergebnisse / Bewertung 4 Zusammenfassung

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Wozu dient ein Logikanalysator?

Wozu dient ein Logikanalysator? Wozu dient ein Logikanalysator? Beispiel: Microcontroller Microcontroller kommen vor in Haushaltsgeräten (Waschmaschine,...) in Fahrzeugen (ABS, Motorsteuerung, Radio,...) in Computern (Tastatur, Festplatte,

Mehr

Rechnerorganisation. 1. Juni 201 KC Posch

Rechnerorganisation. 1. Juni 201 KC Posch .6.2 Rechnerorganisation. Juni 2 KC Posch .6.2 2 .6.2 Front Side Bus Accelerated Graphics Port 28 MHz Front Side Bus North Bridge RAM idge South Bri IDE USB PCI Bus 3 .6.2 Front Side Bus Front Side Bus

Mehr

Betriebssysteme VO Betriebssysteme KU

Betriebssysteme VO Betriebssysteme KU VO KU für Lehramt VU Einführung 7.10.2011 Inhalt Vorstellung Motivation und Inhalte der Vorlesung Organisatorisches Übungen git Inhalte Aufbauend auf Rechnerorganisation Verständnis für Funktionen und

Mehr

Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.!

Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.! Fakultät Informatik Institut für Technische Informatik VLSI-Entwurfssysteme, Diagnostik und Entwurf! Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.! Norman Seßler! Dresden, 1.7.2009! Gliederung!

Mehr

Funktionale Sicherheit Testing unter

Funktionale Sicherheit Testing unter Funktionale Sicherheit Testing unter den Bedingungen der Safety Integrity Levels Präsentation auf dem Neu-Ulmer Test-Engineering Day Sebastian Stiemke, MissingLinkElectronics, Neu-Ulm 1 Inhalt Idee hinter

Mehr

2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins. 2.5 Boundary Scan (JTAG)

2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins. 2.5 Boundary Scan (JTAG) 2.5 Boundary Scan (JTAG) JTAG = Joint Test Action Group (für Boundary Scan verantwortliches Gremium) 2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins Boundary-Scan-Zelle 2.5. Überblick

Mehr

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Dipl.-Ing. (FH) Alexander Weidel A M S Software GmbH Dipl.-Ing. (FH) Christoph Landmann, M.Sc. National Instruments Germany

Mehr

Embedded Board Test Seminar 2015. Dipl.-Ing. (FH) Martin Borowski

Embedded Board Test Seminar 2015. Dipl.-Ing. (FH) Martin Borowski Embedded Board Test Seminar 2015 ipl.-ing. (FH) Martin Borowski 11.03.2016 1 Seminar: Embedded Board Test Vom esign bis End ofline 11.03.2016 2 Moderne Elektroniken? Fortschritt Quellen: markerfaire.berlin

Mehr

M I K R O P R O Z E SS O R P R A K T I K U M SS2018. Termin1. C-Programmierung für eingebettete Systeme

M I K R O P R O Z E SS O R P R A K T I K U M SS2018. Termin1. C-Programmierung für eingebettete Systeme Mikroprozessorpraktikum Termin1 SS2018 Technische Fachgruppe C-Programmierung für eingebettete M I K R O P R O Z E SS O R P R A K T I K U M SS2018 Termin1 C-Programmierung für eingebettete Name, Vorname

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Mentor User Conference 2004 - Würzburg Michael Pichler, m.pichler@zma.ch Dino Zardet, d.zardet@zma.ch Prof. Karl Schenk, k.schenk@zma.ch

Mehr

jinvent iolinker Press Kit

jinvent iolinker Press Kit jinvent iolinker Press Kit 1 Wer wir sind jinvent wurde 2008 im Raum Nürnberg gegründet, und hat seit dem innovative Hard- und Software entwickelt. Viel Erfahrung mit integrierten Industrie- und Konnektivitäts-Lösungen

Mehr

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher Sascha Kath Dresden, Gliederung 1. Motivation & Zielstellung 2. HLS-Systeme 3. Benchmark-Funktionen

Mehr

Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations- und Verarbeitungsalgorithmen

Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations- und Verarbeitungsalgorithmen Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations-

Mehr

Ansteuerung eines LCD-Screens

Ansteuerung eines LCD-Screens Ansteuerung eines LCD-Screens Marcel Meinersen 4. Mai 2013 Marcel Meinersen Ansteuerung eines LCD-Screens 4. Mai 2013 1 / 27 Inhaltsverzeichnis 1 Allgemeines Was ist ein LCD-Screen? LCD 162C LED Marcel

Mehr

Vorlesung Modellierung und Simulation heterogener Systeme

Vorlesung Modellierung und Simulation heterogener Systeme Vorlesung Modellierung und Simulation heterogener Systeme Modellierung heteorgener Systeme (Methodik v. Modellierung und Entwurf) Christoph Grimm 2003 Überblick über Semesterstoff Simulation ereignisdiskreter

Mehr

BASIC-Tiger Starter Kit. Tel: +49 (241) Fax: +49 (241)

BASIC-Tiger Starter Kit. Tel: +49 (241) Fax: +49 (241) BASIC-Tiger Starter Kit Tel: +49 (241) 918 900 Fax: +49 (241) 918 9044 Email: info@wilke.de 1 2 Wilke Technology GmbH Krefelder Str. 147 52070 Aachen Germany BASIC-Tiger Starter Kit Willkommen beim BASIC-Tiger

Mehr

2008 Jiri Spale, Programmierung in eingebetteten Systemen 1

2008 Jiri Spale, Programmierung in eingebetteten Systemen 1 2008 Jiri Spale, Programmierung in eingebetteten Systemen 1 NetX - Einführung 2008 Jiri Spale, Programmierung in eingebetteten Systemen 2 NetX is... a highly integrated network controller with a new system

Mehr

Konzepte von Betriebssystemkomponenten. Gerätetreiber. Mario Körner

Konzepte von Betriebssystemkomponenten. Gerätetreiber. Mario Körner Konzepte von Betriebssystemkomponenten Gerätetreiber Mario Körner 26.01.2004 Übersicht Einordnung in die Betriebssystemarchitektur Schnittstelle zur Hardware Schnittstelle zum Betriebssystem am Beispiel

Mehr

Physical Computing. Ralf Nolte. Bielefeld, 13. Dezember 2011

Physical Computing. Ralf Nolte. Bielefeld, 13. Dezember 2011 Physical Computing Ralf Nolte Bielefeld, 13. Dezember 2011 Überblick Motivation Definition Vorstellung der Hardware Vorstellung der Arduino IDE Hello World LC Display Gravity Plug an TWI Bus 2 Motivation

Mehr

Dynamisches Testen von Embedded. Hans Georg Hermann ExpertControl GmbH

Dynamisches Testen von Embedded. Hans Georg Hermann ExpertControl GmbH Dynamisches Testen von Embedded Systemen und Komponenten in Echtzeit Hans Georg Hermann ExpertControl GmbH Blá Balázs Tóth Nti National linstruments t Agenda Testen von Embedded Systemen und Komponenten

Mehr

Eclipse. für die kleinen Dinge des (modernen) Lebens

Eclipse. für die kleinen Dinge des (modernen) Lebens Eclipse für die kleinen Dinge des (modernen) Lebens Agenda Vorstellung Zielsysteme Software Modellierung Übertragung zum Gerät Vorstellung www.mda4e.org Projektpartner mda4e itemis GmbH & Co. KG Spezialist

Mehr

VORSTELLUNG DER DIPLOMARBEIT

VORSTELLUNG DER DIPLOMARBEIT 1 VORSTELLUNG DER DIPLOMARBEIT Thomas Werner Inhaltsverzeichnis 2 Thema Aufgabenstellung Anwendungsdebugging Threads Remote Debugging Implementierung Ausblick Quellen 3 Thema Untersuchung von Funktionsabläufen

Mehr

Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext

Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext Till Fischer 03.11.2011 FZI Forschungszentrum Informatik Embedded Systems & Sensors Engineering (ESS)

Mehr

FAQ 12/2015. PROFINET IO- Kommunikation. https://support.industry.siemens.com/cs/ww/de/view/

FAQ 12/2015. PROFINET IO- Kommunikation. https://support.industry.siemens.com/cs/ww/de/view/ FAQ 12/2015 PROFINET IO- Kommunikation https://support.industry.siemens.com/cs/ww/de/view/109479139 Dieser Beitrag stammt aus dem Siemens Industry Online Support. Es gelten die dort genannten Nutzungsbedingungen

Mehr

Datentechnik. Prinzipieller Aufbau eines Schnittstellenbausteins

Datentechnik. Prinzipieller Aufbau eines Schnittstellenbausteins Prinzipieller Aufbau eines Schnittstellenbausteins DB /CS A0-Ai R/W Reset Takt Int IntAck zum µp Datenbus Puffer Steuerung Interruptsteuerung & IF Statusregister IE Steuerregister Befehlsregister Datenregister

Mehr

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788 CFG Steffen Ostendorff Zusebau, R2078, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 2. Januar 2012 Self-Organization 05 January 2012 1 Wiederholung CFG 2. Januar

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Hybride Apps DPR und Android auf dem Xilinx ZYNQ. Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm

Hybride Apps DPR und Android auf dem Xilinx ZYNQ. Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm Hybride Apps DPR und Android auf dem Xilinx ZYNQ Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm Konvergenz der Rechenplattformen Processing System Memory Interfaces 7 Series Programmable

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Die Integration zukünftiger In-Car Multimedia Systeme unter Verwendung von Virtualisierung und Multi-Core Plattformen

Die Integration zukünftiger In-Car Multimedia Systeme unter Verwendung von Virtualisierung und Multi-Core Plattformen Die Integration zukünftiger In-Car Multimedia Systeme unter Verwendung von Virtualisierung und Multi-Core Plattformen 0. November 0 Sergio Vergata, Andreas Knirsch, Joachim Wietzke Echtzeit 0 Agenda Motivation

Mehr

Der 80535-Übungsrechner

Der 80535-Übungsrechner 6. Für den Test kleinerer Programmodule ohne Berücksichtigung des Echtzeitverhaltens ist der Simulator das geeignete Werkzeug. Durch die Möglichkeit der Einzelschrittausführung sind erste Tests von Programmen

Mehr

Neues vom STRIP Forth-Prozessor

Neues vom STRIP Forth-Prozessor Neues vom STRIP Forth-Prozessor Tagung der Forth-Gesellschaft März 2014 Bad Vöslau/Österreich Willi Stricker -Prozessor Inhalt 1. STRIP-Befehlssatz Bisher: minimaler Befehlssatz neu: erweiterter Befehlssatz

Mehr

T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series

T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series Inhalt 1. Einführung... 2 2. Anforderungen... 2 3. Komponenten... 3 3.1. PXI 7952R... 3 3.2. Fiber Optical Interface Module

Mehr

ccnuma Unterstützung Zustandsübergangsdiagramm MESIF

ccnuma Unterstützung Zustandsübergangsdiagramm MESIF ccnuma Unterstützung Einführung eines neuen Zustandes Forward (F) Cache mit Block im F-Zustand antwortet auf BusRd, Caches mit Block im S-Zustand müssen nichts machen Nach einem BusRd mit Teilhaber wandert

Mehr

Simulative Verifikation und Evaluation des Speichermanagements einer Multi-Core-Prozessorarchitektur am Beispiel von SHAP

Simulative Verifikation und Evaluation des Speichermanagements einer Multi-Core-Prozessorarchitektur am Beispiel von SHAP Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Simulative des Speichermanagements einer Multi-Core-Prozessorarchitektur am Beispiel

Mehr

Vertiefungsrichtung Rechnerarchitektur

Vertiefungsrichtung Rechnerarchitektur srichtung () ( für ) Prof. Dietmar Fey Ziele der srichtung RA Vertiefen des Verständnis vom Aufbau, Funktionsweise von Rechnern und Prozessoren Modellierung und Entwurf von Rechnern und Prozessoren ()

Mehr

Marco Sliwa. Regional Sales Manager Deutschland JTAG Technologies B.V.

Marco Sliwa. Regional Sales Manager Deutschland JTAG Technologies B.V. Boundary Scan von der Entwicklung bis zur Produktion Marco Sliwa Regional Sales Manager Deutschland JTAG Technologies B.V. Überblick JTAG Technologies Gegründet 1993 Weltweit führendes Unternehmen im Bereich

Mehr

High Performance Embedded Processors

High Performance Embedded Processors High Performance Embedded Processors Matthias Schwarz Hardware-Software-Co-Design Universität Erlangen-Nürnberg martin.rustler@e-technik.stud.uni-erlangen.de matthias.schwarz@e-technik.stud.uni-erlangen.de

Mehr

Modellbasierte Entwicklung und Verifikation von Sensor-SiPs

Modellbasierte Entwicklung und Verifikation von Sensor-SiPs MATLAB EXPO München, 09.07.2014 Modellbasierte Entwicklung und Verifikation von Sensor-SiPs Dr. Jan-Hendrik Oetjens Dr. Ralph Görgen 1 Automotive Electronics AE/EIM-Oetjens 09.07.2014 Robert Bosch GmbH

Mehr

Die AVR Mikrocontrollerfamilie

Die AVR Mikrocontrollerfamilie AKES - Ausgewählte Kapitel eingebetteter Systeme 10. Mai 2006 Übersicht über den Vortrag Was sind AVRs? 2/35 Anwendungsfelder Übersicht über den Vortrag Mikrocontroller 3/35 Kleiner Chip Viel integrierte

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden

Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden Benötigte Hardware: - CBX 800 - BM3x0 Profibus Interface-Modul - Handscanner + Kabel CAB 509/512 1. Das HHR Gerät als RS232

Mehr

Profiling und Coverage mit GNU und Bare Embedded Systems

Profiling und Coverage mit GNU und Bare Embedded Systems Profiling und Coverage mit GNU und Bare Embedded Systems Life is too short for bad tools! Prof. Erich Styger erich.styger@hslu.ch +41 41 349 33 01 Coverage und Profiling Coverage: Analyse der Testabdeckung

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega Einleitung... 11 Die Pins alphabetisch.... 12 Kapitel 1 Programmierung des ATmega8 und des ATmega328.... 15 1.1 Was Sie auf den nächsten Seiten erwartet... 19 1.2 Was ist eine Micro Controller Unit (MCU)?....

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

Testautomatisierung. Schritthalten mit agiler Software-Entwicklung. Matthias Hölzer-Klüpfel

Testautomatisierung. Schritthalten mit agiler Software-Entwicklung. Matthias Hölzer-Klüpfel Testautomatisierung Schritthalten mit agiler Software-Entwicklung Matthias Hölzer-Klüpfel Aufgabenstellung Entwicklung eines innovativen Medizinprodukts in einem Startup-Unternehmen bis zur CE-Kennzeichnung

Mehr

Projektlabor. LCD Ansteuerung

Projektlabor. LCD Ansteuerung Projektlabor LCD Ansteuerung Contents 1 LCD 3 2 Hardware des Displays 3 2.1 Hardware............................... 3 2.2 Verbindung.............................. 4 3 Softwareansteuerung 6 4 Quellen 10

Mehr

Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520

Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520 Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520 Das Linux Control System ist eine typische Anwendung für das Linux Control Modul DIL/NetPC DNP/7520. Die Abbildung 1 zeigt die Blockschaltung.

Mehr

Verteiltes Debugging. Gemeinsames Debuggen in Saros

Verteiltes Debugging. Gemeinsames Debuggen in Saros Verteiltes Debugging Gemeinsames Debuggen in Saros Motivation Saros unterstützt bislang nur das gemeinsame editieren von Quelltext > Support auf Compile Time Ebene Softwaredesign Fehler (Anw Logik) erst

Mehr

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes Verifikation Dr. Wolfgang Günther Verifikation 2 Wozu Verifikation? Produktivität im ASIC Entwurf Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des

Mehr

Multi-Port-Speichermanager für die Java-Plattform SHAP

Multi-Port-Speichermanager für die Java-Plattform SHAP Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Multi-Port-Speichermanager für die Java-Plattform SHAP DASS 2008 Martin Zabel, Peter

Mehr

Montageanleitung. AS-i CONTROLLER e AC1305/AC1306 7390412 / 00 03 / 2005

Montageanleitung. AS-i CONTROLLER e AC1305/AC1306 7390412 / 00 03 / 2005 Montageanleitung DE AS-i CONTROLLER e AC1305/AC1306 7390412 / 00 03 / 2005 Inhalt Bestimmungsgemäße Verwendung... 3 Programmierschnittstelle RS232C... 3 Profibus-DP-Schnittstelle... 3 Montage... 3 Elektrischer

Mehr

Siemens AG Electronic Siemens Electronic Design and Design Manufacturing and Services. Produkte & Lösungen THE POWER OF IDEAS

Siemens AG Electronic Siemens Electronic Design and Design Manufacturing and Services. Produkte & Lösungen THE POWER OF IDEAS Siemens AG Electronic Siemens Electronic Design and Design Manufacturing and Services (I&S Manufacturing EDM) Services Produkte & Lösungen THE POWER OF IDEAS I&S EDM Entwicklungskompetenz Produkte & Lösungen

Mehr

Programmieren in Haskell Debugging

Programmieren in Haskell Debugging Programmieren in Haskell Debugging Peter Steffen Universität Bielefeld Technische Fakultät 30.01.2009 1 Programmieren in Haskell Debugger Definition laut Wikipedia: Ein Debugger (von engl. bug im Sinne

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

ActiveCharts. Verknüpfung von Modellen und Code bei der modellgetriebenen Softwareentwicklung mit UML 2.0

ActiveCharts. Verknüpfung von Modellen und Code bei der modellgetriebenen Softwareentwicklung mit UML 2.0 Jens Kohlmeyer 05. März 2007 Institut für Programmiermethodik und Compilerbau ActiveCharts Verknüpfung von Modellen und Code bei der modellgetriebenen Softwareentwicklung mit UML 2.0 Seite 2 Übersicht

Mehr

EINE MODULARE TESTPLATTFORM FÜR DAS PROTOTYPING VON DRAHTLOSEN SYSTEMEN

EINE MODULARE TESTPLATTFORM FÜR DAS PROTOTYPING VON DRAHTLOSEN SYSTEMEN EINE MODULARE TESTPLATTFORM FÜR DAS PROTOTYPING VON DRAHTLOSEN SYSTEMEN Einleitung Zunehmender Einsatz von Kurzstreckenfunk in Form drahtloser Datenkommunikation im Bereich IEEE Standard 802.15.4 - Zigbee

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Modell-basierte Entwicklung mit der Timing Definition Language (TDL)

Modell-basierte Entwicklung mit der Timing Definition Language (TDL) Modell-basierte Entwicklung mit der Timing Definition Language (TDL) Prof. Dr. Wolfgang Pree Univ. Salzburg Inhalt Motivation für einen Paradigmenwechsel bisher: zuerst Plattform, dann Software => Software

Mehr

x86 Open Source Virtualisierungstechniken Thomas Glanzmann <thomas@glanzmann.de>

x86 Open Source Virtualisierungstechniken Thomas Glanzmann <thomas@glanzmann.de> x86 Open Source Virtualisierungstechniken März 2006 Zu meiner Person Informatik Student an der Universität Erlangen im 9. Semester 4 jährige Mitarbeit an dem Projekt FAUmachine der

Mehr

Einbindung realer Hardwarekomponenten in objektorientierte Simulationsumgebungen

Einbindung realer Hardwarekomponenten in objektorientierte Simulationsumgebungen Einbindung realer Hardwarekomponenten in objektorientierte Simulationsumgebungen Abstrakt Uwe Hatnik FhG EAS-IIS Dresden Zeunerstraße 38 01097 Dresden e-mail: hatnik@eas.iis.fhg.de Eine Kopplung von Simulatoren

Mehr

Linux auf dem Nios II Softcore Prozessor

Linux auf dem Nios II Softcore Prozessor Linux auf dem Nios II Softcore Prozessor Tobias Klauser Institute of Embedded Systems Zürcher Hochschule für Angewandte Wissenschaften 30. August 2011 Tobias Klauser (InES)

Mehr

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565 Stand 10.2.2009 Displayabmessungen -6X9: 56x43mm (Abbildung) -6X8: 34x25mm TECHNISCHE DATEN * INKL. KONTROLLER ST7565 * VDD = 2,7... 3,3V * LOW POWER, ALSO IDEAL FÜR

Mehr

Diplomarbeit. Konzeption, Entwicklung und Implementierung einer modifizierten JTAG-Schnittstelle für In-Target Online-Software-Debugging

Diplomarbeit. Konzeption, Entwicklung und Implementierung einer modifizierten JTAG-Schnittstelle für In-Target Online-Software-Debugging Universität Rostock Fachbereich Elektrotechnik und Informationstechnik Institut für Angewandte Mikroelektronik und Datentechnik Diplomarbeit Konzeption, Entwicklung und Implementierung einer modifizierten

Mehr

ABLEITUNG ZUSÄTZLICHER STEUERGRÖSSEN FÜR DIE STRAHLFORMUNG IN EINEM LASER-SCANNER IM ECHTZEITBETRIEB

ABLEITUNG ZUSÄTZLICHER STEUERGRÖSSEN FÜR DIE STRAHLFORMUNG IN EINEM LASER-SCANNER IM ECHTZEITBETRIEB Fakultät Informatik, Institut für Technische Informatik ABLEITUNG ZUSÄTZLICHER STEUERGRÖSSEN FÜR DIE STRAHLFORMUNG IN EINEM LASER-SCANNER IM ECHTZEITBETRIEB Studienarbeit Patrick Schöps Dresden, 09.02.2017

Mehr