1,8V Flash and SRAM 28F3208W30

Größe: px
Ab Seite anzeigen:

Download "1,8V Flash and SRAM 28F3208W30"

Transkript

1 ,8V Flash and SRAM 28F328W3 Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 33,8V Flash and SRAM 28F328W3 BGA-Gehäuse Auf 7x9 mm Fläche 28MBit Flash und 8MBit SRAM Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 34

2 SRAM Zelle in Bipolartechnik Lesen Y L /L +U B Über die X und die Y Leitung wird die Speicherzelle aktiviert. Beim Lesen fließt Strom über die /L- oder L-Leitung, die am Emitter des leitenden Transistor angeschlossen ist. X Q /Q Datenbit I E (Strom steht für ) Mit Komparatoren wird der Strom über R gemessen. Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 35 SRAM Zelle in Bipolartechnik X - Schreiben Y L /L I CE Q /Q A +U B Durch die auf der /L Leitung wird der Transistor nicht mehr leiten. Es kann kein Strom I CE mehr fließen (alle Emitter sind auf ). Am Knoten A wird der Pegel von auf wechseln. Datenbit Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 36 2

3 SRAM Zelle in Bipolartechnik - Schreiben Y L /L +U B X Q /Q I CE Datenbit Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 37 MCM63D736 28Kx32 SRAM 32 Datenbit Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 38 3

4 SRAM Zelle in NMOS-Technik Lesen der Speicherzelle L +5V /L R R X Y Strom für Leseverstärker Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 39 SRAM Zelle in NMOS-Technik Speichern einer L +5V /L R R X Y Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 4 4

5 SRAM Zelle in NMOS-Technik Speichern einer L +5V /L R R X Y Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 4 SRAM in CMOS Lesen einer VCC (5V) /S - Spaltenleitung S - Spaltenleitung (V) Zeilenleitung Strom für Leseverstärker Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 42 5

6 SRAM in CMOS Speichern einer VCC (5V) /S - Spaltenleitung S - Spaltenleitung (V) Zeilenleitung Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 43 SRAM in CMOS Speichern einer VCC (5V) /S - Spaltenleitung S - Spaltenleitung (V) Zeilenleitung Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 44 6

7 DRAM Zelle in NMOS Datenlesen Daten Input Das C wird durch die Kapazität des MOSFET-Gate gebildet. Daten Output Datenschreiben Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 45 DRAM Zelle in NMOS nicht aktivierte Zelle mit gespeicherter Datenlesen Daten Input Daten Output Datenschreiben beliebig hochohmig Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 46 7

8 DRAM Zelle in NMOS Speichern einer Datenlesen Daten Input Daten Output Datenschreiben hochohmig Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 47 DRAM Zelle in NMOS nichtaktive Zelle mit gespeicherter Datenlesen Daten Input Daten Output Datenschreiben beliebig hochohmig Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 48 8

9 DRAM Zelle in NMOS Lesen der gespeicherten Datenlesen Daten Input Daten Output Datenschreiben beliebig Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 49 Spezielle Speicher Dateneingang Adressen Steuersignale Eingang Speicher Ausgang LIFO FIFO Bidirektionale FIFO FIFO mit Mailbox Dual-Port-RAM X-Port-RAM Datenausgang Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 5 9

10 LIFO Speicher Dateneingang Bussystem RAM Stackpointer +/- Decodierer Datenausgang Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 5 FIFO - Speicher Port A Adressen Steuersignale Interrupt Port A FIFO-Steuerung RAM Daten Port A Statussignale: Voll Halbvoll Leer Löschen Reset Port B Adressen Steuersignale Interrupt Port B Datenbreite:,4,8,9,6,32 Bit Daten Port B Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 52

11 FIFO mit SISO, SIPO, PISO, PIPO Adressen Steuersignale Interrupt Input Daten parallel oder seriell ein FIFO-Steuerung RAM Möglicher Datentransfer über den FIFO SISO Seriell In Seriell Out SIPO Seriell In Parallel Out PISO Parallel In Seriell Out PIPO Parallel In Parallel Out Output Daten parallel oder seriell aus Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 53 FIFO Bidirektional mit Mailbox Bus System A Port A FIFO A Port B Bus System B Mailbox A Register Port A Mailbox B Register Port B FIFO B Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 54

12 Organisation FIFO Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 55 Dual-Port RAM Bus System A Businterface RAM Businterface Bus System B RAM Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 56 2

13 Bussystem - Datenschreiben An...A Adressleitungen /MR Memory Request /RD Read /WR Write Dn...D Datenleitungen Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 57 Bussystem - Datenlesen An...A Adressleitungen /MR Memory Request /RD Read /WR Write Dn...D Datenleitungen Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 58 3

14 Wechsel der Speicherart ROM / RAM ROM Hauptspeicher RAM Für den Bootvorgang ist ROM aktiv. Am Ende des Bootvorgangs wird der ROM ausgeblendet und der RAM eingeblendet. Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 59 Seiteneinblendung im Speicher Hauptspeicher Seite 3 Zieladresse Seite Seite 2 CS3 Seite CS2 Seite CS CS Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 6 4

15 Seitenadressierung im Speicher Zieladresse ZA2 ZA ZA Adressierung auf der Seite A...A Aktuelle Adresse A3 A2 A Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 6 Chipselect Generierung P Seitenauswahl P Register Laden Speicher Schreib- Oder Lesevorgang Aktuelle Adresse Zieladresse /RD /MR /WR A A2 A3 ZA ZA ZA2 Register NOR NOR Vergleicher OR AND DEMUX CS CS CS2 CS3 Wenn die Zieladresse mit der aktuellen Adresse übereinstimmt und ein Speicherzugriff erfolgt, wird der Decoder für die ausgewählte Seite das CSx generieren. Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 62 5

16 ZA = Chipselect Generierung Speicherzugriff erfolgt bei RD= und MR= oder WR= und MR= M = RD MR + WR MR Die Zieladresse wird aus dem Vergleich von ZA3...ZA (Zieladresse) im Speicherband und den aktuellen Adressbits A3..A gewonnen. ( ZA2 A3) + ( ZA2 A3) ( ZA A2) + ( ZA A2) ( ZA A) + ( ZA A) Das Chipselect CS3..CS wird aus den Page Bits P..P, dem Speicherzugriff und den Zieladressen gewonnen. CS = P P ZA M CS = P P ZA M CS2 = P P ZA M CS3 = P P ZA M Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 63 6

Halbleiterspeicher. Halbleiterspeicher

Halbleiterspeicher. Halbleiterspeicher Halbleiterspeicher Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin Halbleiterspeicher RAM Random Access Memory Schreib-Lese-Speicher SRAM statischer RAM DRAM dynamischer RAM Liers - PEG-Vorlesung

Mehr

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM RAMs (Random Access Memory) - Schreib-Lese-Speicher RAMs sind Speicher mit der Aufgabe, binäre Daten für eine bestimmte Zeit zu speichern. Diese

Mehr

Logikausgang Grundschaltungen in CMOS-Technik

Logikausgang Grundschaltungen in CMOS-Technik Logikausgang Grundschaltungen in CMOS-Technik X Liers - PEG-Vorlesung WS00/0 - Institut für Informatik - FU Berlin 49 Logikausgang Grundschaltungen CS INV in CMOS-Technik (Tristate) Transistor leitet X

Mehr

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1 9. SPEICHER UND CACHE (c) Peter Sturm, University of Trier 1 Inhalt Grundlagen Speichertypen RAM / ROM Dynamisches RAM Cache- Speicher Voll AssoziaNv n- Wege AssoziaNv Direct Mapping Beispiel: 8 Bit- Register

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Fachbereich Medienproduktion

Fachbereich Medienproduktion Fachbereich Medienproduktion Herzlich willkommen zur Vorlesung im Studienfach: Grundlagen der Informatik Themenübersicht Rechnertechnik und IT Sicherheit Grundlagen der Rechnertechnik Prozessorarchitekturen

Mehr

Arithmetische und Logische Einheit (ALU)

Arithmetische und Logische Einheit (ALU) Arithmetische und Logische Einheit (ALU) Enthält Blöcke für logische und arithmetische Operationen. n Bit Worte werden mit n hintereinander geschalteten 1 Bit ALUs bearbeitet. Steuerleitungen bestimmen

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Speicherhierarchie. [Technische Informatik Eine Einführung] Univ.-Prof. Dr. Paul Molitor

Speicherhierarchie. [Technische Informatik Eine Einführung] Univ.-Prof. Dr. Paul Molitor [Technische Informatik Eine Einführung] Univ.-Prof. Dr. Paul Molitor Lehrstuhl für Technische Informatik Institut für Informatik Martin-Luther-Universität Halle-Wittenberg Januar 2006 1 / 100 Inhalt dieser

Mehr

E Hauptspeicher und Cache

E Hauptspeicher und Cache und Cache 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher 7. Cache 1 und Cache Einordnung in das Schichtenmodell:

Mehr

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse Kapitel 11 Rechnerarchitektur 11.1 Der von-neumann-rechner Wir haben uns bisher mehr auf die logischen Bausteine konzentriert. Wir geben jetzt ein Rechnermodell an, das der physikalischen Wirklichkeit

Mehr

SMP Übung 2 1. Aufgabe

SMP Übung 2 1. Aufgabe SMP Übung 2 1. Aufgabe a) Kilo: K = 2 10 = 1.024 Mega: M = 2 20 = 1.048.576 Giga: G = 2 30 = 1.073.741.824 Tera: T = 2 40 = 1.099.511.627.776 b) Der Prozessor hat 30 Adressleitungen A[31..2], mit denen

Mehr

Aufgabe 2 - Erweiterung um PIC und Interrupts

Aufgabe 2 - Erweiterung um PIC und Interrupts Aufgabe 2 - Erweiterung um PIC und Interrupts Dr.-Ing. Volkmar Sieh Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS2010/2011 Aufgabe 2 - Erweiterung um

Mehr

Hauptspeicher H.1.1 Einordnung Organisation und Verhalten von Hauptspeichermodulen. Caches und assoziative Speicherung. Höhere Informatik :

Hauptspeicher H.1.1 Einordnung Organisation und Verhalten von Hauptspeichermodulen. Caches und assoziative Speicherung. Höhere Informatik : H. Hauptspeicher H.. Einordnung Organisation und Verhalten von Hauptspeichermodulen. Caches und assoziative Speicherung. Höhere Informatik : Hierarchische Datenspeicherung. - Programmierung, Datenbanken,

Mehr

Name : Klasse : Punkte : Note :

Name : Klasse : Punkte : Note : Name : Klasse : Punkte : Note : Zeit: 08.00 bis 09.30 Es dürfen alle Unterlagen verwendet werden. Die Aufgaben sind möglichst direkt auf den Blättern zu lösen (Antworten bitte in ganzen Sätzen!), bei Bedarf

Mehr

SRAM-Zelle Lesevorgang

SRAM-Zelle Lesevorgang SRAM-Zelle Lesevorgang 1 im Flipflop gespeichert U DD Bit Bit Wort - Low - Potential - High - Potential 195 SRAM-Zelle Schreibvorgang 1 im Flipflop gespeichert U DD Bit Bit Wort - Low - Potential - High

Mehr

Kapitel 16. Externer Bus

Kapitel 16. Externer Bus Kapitel 16 Externer Bus 13.08.12 K.Kraft D:\MCT_Vorlesung\Folien2013\ExtBus_16\ExtBus.odt 16-1 Externer Systembus Skript Bild 22, S. 27 : Prinzip eines Mikrocontrollersystems (80c537) mit externem Speicher

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Ein- Ausgabeeinheiten

Ein- Ausgabeeinheiten Kapitel 5 - Ein- Ausgabeeinheiten Seite 121 Kapitel 5 Ein- Ausgabeeinheiten Am gemeinsamen Bus einer CPU hängt neben dem Hauptspeicher die Peripherie des Rechners: d. h. sein Massenspeicher und die Ein-

Mehr

DIGITALTECHNIK 10 SCHIEBEREGISTER

DIGITALTECHNIK 10 SCHIEBEREGISTER Seite 1 von 11 DIGITALTECHNIK 10 SCHIEBEREGISTER Inhalt Seite 2 von 11 1 SCHIEBEREGISTER... 3 2 D-FLIPFLOP... 3 2.1 SCHIEBERICHTUNG RECHTS... 3 2.2 SCHIEBERICHTUNG LINKS... 5 3 TIMINGBEDINGUNGEN... 6 4

Mehr

Speicher Typen. TI-Übung 5. Speicher SRAM. Speicher DRAM. SRAM vs. DRAM (EEP)ROM, NV-RAM, Flash,... Speicher, Caches

Speicher Typen. TI-Übung 5. Speicher SRAM. Speicher DRAM. SRAM vs. DRAM (EEP)ROM, NV-RAM, Flash,... Speicher, Caches Speicher Typen TI-Übung 5 Speicher, Caches Andreas I. Schmied (andreas.schmied@uni-ulm.de) AspectIX-Team Abteilung Verteilte Systeme Universität Ulm WS2005 SRAM vs. DRAM (EEP)ROM, NV-RAM, Flash,... Charakteristik

Mehr

Aufgabe 2 - Erweiterung um PIC und Interrupts

Aufgabe 2 - Erweiterung um PIC und Interrupts Aufgabe 2 - Erweiterung um PIC und Interrupts Rainer Müller Department Informatik 4 Verteilte Systeme und Betriebssysteme Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2014/2015 R. Müller Erweiterung

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Mikrocontroller-Busse

Mikrocontroller-Busse Mikrocontroller-Busse Projekt Elektronik Mohammed Goni Projekt: Terarriensteuerung WsSe 09 1 Einleitung 2 Microcontroller (MCU) 3 Bussysteme Mohammed Goni (Projekt: Terarriensteuerung) Elektronik WiSe

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 14. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung Halbleiterspeicher i Statisches RAM Dynamisches RAM Zahlendarstellung

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

einfache DRAMs sind heute nicht mehr erhältlich, sondern nur noch die schnelleren DRAM-Varianten...

einfache DRAMs sind heute nicht mehr erhältlich, sondern nur noch die schnelleren DRAM-Varianten... 3 DRAM (10) Vor-/Nachteile von DRAM-Bausteinen: periodischer Refresh erforderlich hohe Zugriffszeit von ca. 60 ns für das erste Datenwort, dank FPM kürzere Zugriffszeit von ca. 30 ns für folgende Datenworte

Mehr

MikroController der 8051-Familie

MikroController der 8051-Familie i Dipl.-Ing. Roland Dilsch MikroController der 8051-Familie Aufbau, Funktion, Einsatz Vogel Buchverlag Inhaltsverzeichnis Vorwort 5 1 Was ist ein MikrocontroUer? 13 1.1 Aufbau eines Computers 13 1.2 Entstehung

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 22. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Vorbesprechung drittes Labor

Mehr

Übungen zu Grundlagen der Rechnerarchitektur und -organisation (ÜGRa)

Übungen zu Grundlagen der Rechnerarchitektur und -organisation (ÜGRa) Übungen zu Grundlagen der Rechnerarchitektur und -organisation (ÜGRa) Aufgabenblatt 07 Ausgabe: 2016-06-13 Abgabe: 2016-06-27 Aufgabe 1: Die MIPS-Architektur ist eine typische Register-Register-Architektur

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

GRUNDLAGEN DER INFORMATIONSTECHNIK. Übungen TEIL 1 RECHNER

GRUNDLAGEN DER INFORMATIONSTECHNIK. Übungen TEIL 1 RECHNER GRUNDLAGEN DER INFORMATIONSTECHNIK Übungen TEIL 1 RECHNER Otto-von-Guericke-Universität Magdeburg Fakultät für Elektrotechnik und Informationstechnik Institut für Elektronik, Signalverarbeitung und Kommunikationstechnik

Mehr

Beschaltung eines Mikrocontrollers. Jordi Blanch Sierra Steuerungsgruppe

Beschaltung eines Mikrocontrollers. Jordi Blanch Sierra Steuerungsgruppe Beschaltung eines Mikrocontrollers Jordi Blanch Sierra Steuerungsgruppe Gliederung Was ist ein Mikrocontroller? ATmega32 Pin-Beschreibung Grundschaltungen: - Minimale Grundschaltung - Grundschaltung mit

Mehr

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Themen heute Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Besprechung des 7. Übungsblattes Aufgabe 4a Der eigentliche Sprung erfolgt in der MEM-Phase (4. Pipeline-Stufe),

Mehr

Enterprise Computing Einführung in das Betriebssystem z/os. Prof. Dr. Martin Bogdan Prof. Dr.-Ing. Wilhelm G. Spruth WS2012/13

Enterprise Computing Einführung in das Betriebssystem z/os. Prof. Dr. Martin Bogdan Prof. Dr.-Ing. Wilhelm G. Spruth WS2012/13 UNIVERSITÄT LEIPZIG Enterprise Computing Einführung in das Betriebssystem z/os Prof. Dr. Martin Bogdan Prof. Dr.-Ing. Wilhelm G. Spruth WS2012/13 Verarbeitungsgrundlagen Teil 4 Cache el0100 copyright W.

Mehr

Modul 304: Personalcomputer in Betrieb nehmen Thema: Speicher. Speicher / Memory V 1.0. Technische Berufsschule Zürich IT Seite 1

Modul 304: Personalcomputer in Betrieb nehmen Thema: Speicher. Speicher / Memory V 1.0. Technische Berufsschule Zürich IT Seite 1 Speicher / Memory V 1.0 Technische Berufsschule Zürich IT Seite 1 Einleitung: Der Speicher (engl. Memory) ist eine Kernfunktion in einem Rechner. Programme und Daten werden in Speichern abgelegt. Man spricht

Mehr

Organisatorisches. - zwei Übungsgruppen. - zweiwöchiger Rhythmus. - kleine Programmieraufgaben. - Textaufgaben direkt in der Übung

Organisatorisches. - zwei Übungsgruppen. - zweiwöchiger Rhythmus. - kleine Programmieraufgaben. - Textaufgaben direkt in der Übung Organisatorisches - zwei Übungsgruppen - zweiwöchiger Rhythmus - kleine Programmieraufgaben - Textaufgaben direkt in der Übung Vorführung Historische Computerteile: - Schaltkreistechnologien - gelochte

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

Klausur zur Mikroprozessortechnik

Klausur zur Mikroprozessortechnik Prof. Dr. K. Wüst WS 2001 FH Gießen Friedberg, FB MNI Studiengang Informatik Klausur zur Mikroprozessortechnik Nachname: Vorname: Matrikelnummer: 7.3.2001 Punkteverteilung Aufgabe Punkte erreicht 1 3 2

Mehr

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs in Zukunft Richard Roth / FB Informatik und Mathematik Speicher 2 DRAM Speicherzelle (Trench Technology)

Mehr

Die Technologie von Solid State Disks

Die Technologie von Solid State Disks Beispielbild Die Technologie von Solid State Disks Matthias Niemann Fachbereich Mathematik und Informatik Institut für Informatik 30.01.09 Solid State Disk Überblick Massenspeicher ohne bewegliche Mechanik

Mehr

Vorlesung. Technologische Grundlagen der Informationsverarbeitung. Speicherung von Daten. Dipl.-Ing. Gert Martin

Vorlesung. Technologische Grundlagen der Informationsverarbeitung. Speicherung von Daten. Dipl.-Ing. Gert Martin Vorlesung Technologische Grundlagen der Informationsverarbeitung Speicherung von Daten Dipl.-Ing. Gert Martin Datenspeicherung Prinzipien: Magnetische Speicherung Halbleiterspeicher (Speicher mit elektronischen

Mehr

Elektronik-Grundlagen II Digitale Schaltungen

Elektronik-Grundlagen II Digitale Schaltungen Elektronik-Grundlagen II Digitale Schaltungen H. T. Vierhaus BTU Cottbus Technische Informatik Digitale und analoge Signale u (t) t u (t) high (1) low (0) t Digitale Schaltung und Signal-Regenerierung

Mehr

Rechnerstrukturen. 6. System. Systemebene. Rechnerstrukturen Wintersemester 2002/03. (c) Peter Sturm, Universität Trier 1. Prozessor.

Rechnerstrukturen. 6. System. Systemebene. Rechnerstrukturen Wintersemester 2002/03. (c) Peter Sturm, Universität Trier 1. Prozessor. Rechnerstrukturen 6. System Systemebene 1 (Monoprozessor) 2-n n (Multiprozessor) s L1- in der L2- ( oder Motherboard) ggf. L3- MMU Speicher Memory Controller (Refresh etc.) E/A-Geräte (c) Peter Sturm,

Mehr

Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520

Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520 Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520 Das Linux Control System ist eine typische Anwendung für das Linux Control Modul DIL/NetPC DNP/7520. Die Abbildung 1 zeigt die Blockschaltung.

Mehr

Hardware-Pyramide. Teil D3: Vom Transistor zum Ein-Chip-System. Transistor. Größe der Transistoren. 06 / Teil D3 / Seite 01

Hardware-Pyramide. Teil D3: Vom Transistor zum Ein-Chip-System. Transistor. Größe der Transistoren. 06 / Teil D3 / Seite 01 eil 3: Vom ransistor zum Ein-Chip-ystem ransistoren in der igitaltechnik Gatter Flip-Flops RM Ein-Chip-ystem Hardware-Pyramide EV ystem Zentraleinheit, Peripherie komplee Funktionsbaugr. peicherzellen,

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Die Arithmetisch-Logische Einheit ALU 74181

Die Arithmetisch-Logische Einheit ALU 74181 Die Arithmetisch-Logische Einheit ALU 74181 Blockschaltbild: IC 74181 Pin-Ansicht S0 S1 S2 S3 M C n P G C n + 4 A=B B0 A0 S3 S2 1 2 3 4 24 23 22 21 +5V A1 B1 A2 A0 B0 A1 B1 A2 B2 A3 B3 F0 F1 F2 F3 S1 S0

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

Übersicht über Technologie und Nutzung von Solid State Drives

Übersicht über Technologie und Nutzung von Solid State Drives Fakultät Informatik, Institut für Angewandte Informatik, Professur für Technische Informationssysteme Übersicht über Technologie und Nutzung von Solid State Drives WS 2010/11, 6. Dezember 2010 Betreuer:

Mehr

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 -

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikrocomputertechnik Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikroprozessor-Achritekturen Folie 2 Mikroprozessor-Achritekturen Klassifizierung anhand Wortbreite CPU-Architektur und Busleitungen

Mehr

Mikrorechner-Technik

Mikrorechner-Technik Springer-Lehrbuch Mikrorechner-Technik Band II Busse, Speicher, Peripherie und Mikrocontroller Bearbeitet von Helmut Bähring Neuausgabe 2002. Taschenbuch. xxvii, 425 S. Paperback ISBN 978 3 540 43693 5

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität Flynn sche Klassifikation SISD (single instruction, single data stream): IS IS CU PU DS MM Mono (Mikro-)prozessoren CU: Control Unit SM: Shared Memory PU: Processor Unit IS: Instruction Stream MM: Memory

Mehr

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme SSDs und Flash Memory Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme Seite 2 Inhalt Motivation Aufbau und Funktionsweise NAND vs NOR SLC vs MLC Speicherorganisation Vergleich mit konventionellen

Mehr

1 2 3 4 5 6 7 8 9 Beim Anlegen von Spannung am Gate entsteht ein elektrisches Feld, was eine Anreicherung von Minoritätsladungsträgern unter dem Gate bewrikt.ab einer bestimmten Schwellenspannung wird

Mehr

Computer-Systeme. Teil 3: Das Boxmodell von Variablen

Computer-Systeme. Teil 3: Das Boxmodell von Variablen Computer-Systeme Teil 3: Das Boxmodell von Variablen Computer-Systeme WS 12/13 - Teil 3/Boxmodell 26.10.2012 1 Literatur [3-1] [3-2] [3-3] [3-4] [3-5] Engelmann, Lutz (Hrsg.): Abitur Informatik Basiswissen

Mehr

8. SPS Komponenten: Beschreibung der Hardware-Komponenten einer SPS samt deren Eigenschaften

8. SPS Komponenten: Beschreibung der Hardware-Komponenten einer SPS samt deren Eigenschaften 8. SPS Komponenten: Beschreibung der Hardware-Komponenten einer SPS samt deren Eigenschaften Automatisierungsgerät: Zentralbaugruppe mit Prozessor Kommunikationsbaugruppe (Feldbusanschaltung) Bussysteme

Mehr

TBE332 Controller. Produktbeschreibung

TBE332 Controller. Produktbeschreibung TBE332 Controller Produktbeschreibung Bei der Entwicklung der TBE332 Steuerung wurde auf die Erfahrung unserer jahrelangen Planung und Realisierung verschiedenster Steuerungs- und Regelungseinrichtungen

Mehr

SPI Serial Peripheral Interface

SPI Serial Peripheral Interface SPI Serial Peripheral Interface Physik- Seminar Universität Koblenz-Landau Christian Büch 27. Juni 2006 Einleitung SPI bedeutet Serial Peripheral Interface zu Deutsch serielle Peripherie Schnittstelle

Mehr

Matrixbildung mit Speicherzellen Zugriff über Multiplexer und Demultiplexer

Matrixbildung mit Speicherzellen Zugriff über Multiplexer und Demultiplexer Kapitel 7 Versuch 700 Matrixbildung mit Speicherzellen ugriff über Multiplexer und Demultiplexer Der Übergang vom einzelnen Flipflop zu einem Register entspricht dem Übergang von Buchstaben zu einer eile

Mehr

Mutterplatine, Hauptplatine, Systemplatine, Systemboard

Mutterplatine, Hauptplatine, Systemplatine, Systemboard Motherboard Motherboard: Synonyme Motherboard: Definition Was ist untergebracht: Mutterplatine, Hauptplatine, Systemplatine, Systemboard Kernstück eines Computers, worauf alle internen Hardwarekomponenten

Mehr

80C535-Mikrocontroller-Board im Streichholzschachtelformat

80C535-Mikrocontroller-Board im Streichholzschachtelformat RIBU 18. A-8160 Weiz. Tel. 03172/64800. Fax 03172/64806 RIBUMühlgasse ELEKTRONIK VERSAND e-mail: office@ribu.at. Internet: http://www.ribu.at 80C535-Mikrocontroller-Board im Streichholzschachtelformat

Mehr

Rechnerarchitektur und Betriebssysteme (CS201): Architektur, ALU, Flip-Flop

Rechnerarchitektur und Betriebssysteme (CS201): Architektur, ALU, Flip-Flop Rechnerarchitektur und Betriebssysteme (CS201): Architektur, ALU, Flip-Flop 17. September 2013 Prof. Dr. Christian Tschudin Departement Mathematik und Informatik, Universität Basel Uebersicht Ausgewählte

Mehr

Software ubiquitärer Systeme

Software ubiquitärer Systeme Software ubiquitärer Systeme Übung 2: Speicherarchitekturen in Mikrocontrollern und AOStuBS Christoph Borchert Arbeitsgruppe Eingebettete Systemsoftware Lehrstuhl für Informatik 12 TU Dortmund http://ess.cs.uni-dortmund.de/~chb/

Mehr

Inhalt. 1. Motivation: Ein Beispielexperiment. 2. Analoge und digitale Signale. 3. Rechner Was tun mit den Signalen?

Inhalt. 1. Motivation: Ein Beispielexperiment. 2. Analoge und digitale Signale. 3. Rechner Was tun mit den Signalen? Inhalt 1. Motivation: Ein Beispielexperiment 2. Analoge und digitale Signale 3. Rechner Was tun mit den Signalen? 4. Bus Die Verbindung zur Peripherie 5. Zusammenfassung Motivation * Wie können Daten aufgenommen

Mehr

Kurzanleitung: 1. Anschüsse. MWCLightRGB 2013 by VoBo & HaDi-RC. HW-Version SW-Version 1.2x

Kurzanleitung: 1. Anschüsse. MWCLightRGB 2013 by VoBo & HaDi-RC. HW-Version SW-Version 1.2x Kurzanleitung: 1. Anschüsse IN: 3,8 bis 5 Volt!(nicht 5,5 V oder 6 V!) OUT: Anschlüsse für WS2812 LED-Bänder (6/9 LED s / Arm) RC1: RC-Kanal -> Auswahl der Funktion/Lichtmuster RC2: RC-Kanal -> Auswahl

Mehr

Grundlagen der Informatik III Wintersemester 2010/2011

Grundlagen der Informatik III Wintersemester 2010/2011 Grundlagen der Informatik III Wintersemester 2010/2011 Wolfgang Heenes, Patrik Schmittat 6. Aufgabenblatt mit Lösungsvorschlag 06.12.2010 Hinweis: Der Schnelltest und die Aufgaben sollen in den Übungsgruppen

Mehr

Teach-Dongle Rev 1.1. Teach-Dongle. Rev 1.1 Stand Okt

Teach-Dongle Rev 1.1. Teach-Dongle. Rev 1.1 Stand Okt Teach-Dongle - 1 - - 2 - 1. Allgemeines Inhaltsverzeichnis 1.1 Informationen zum Teach-Dongle Überblick Features 1.2 Rechtliches Urheberrechte Warenzeichen 1.3 EMV Anmerkungen zur EMV 2. Hardwarebeschreibung

Mehr

Aufgabe 1 Entwicklung einer Virtuellen Maschine

Aufgabe 1 Entwicklung einer Virtuellen Maschine Aufgabe 1 Entwicklung einer Virtuellen Maschine Rainer Müller Department Informatik 4 Verteilte Systeme und Betriebssysteme Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2014/2015 R. Müller Entwicklung

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Wichtige Rechnerarchitekturen

Wichtige Rechnerarchitekturen Wichtige Rechnerarchitekturen Teil 5 INMOS Transputer, CSP/Occam 1 INMOS Transputer 1983 vorgestellt von der Firma INMOS (Bristol) (Entwicklung seit 1978) Der Name Transputer entstand als Kunstwort aus

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

Grundlagen der Informatik III Wintersemester 2010/ Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Informatik III Wintersemester 2010/ Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Informatik III Wintersemester 2010/2011 13. Vorlesung Dr.-Ing. Wolfgang Heenes int main() { printf("hello, world!"); return 0; } msg: main:.data.asciiz "Hello, world!".text.globl main la

Mehr

Digitaltechnik. 6 Speicherelemente. Revision 1.4

Digitaltechnik. 6 Speicherelemente. Revision 1.4 Digitaltechnik 6 Speicherelemente A Revision 1.4 Übersicht Adressen Read-Only Memory ROM Random Access Memory RAM Datenbusse Caches Speicher Memory ROM: read-only memory RAM: random-access memory (besser

Mehr

Serielle Datenübertragung mit dem Schnittstellenbaustein 8251

Serielle Datenübertragung mit dem Schnittstellenbaustein 8251 Serielle Datenübertragung mit dem Schnittstellenbaustein 8251 2 Inhaltsverzeichnis Thema Seite Inhaltsverzeichnis 2 Einleitung 2 Serielle Datenübertragung 3 Der serielle Schnittstellenbaustein 8251 4 Beispielprogramm

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Ram/Rom/EPRom WIRTSCHAFTSINGENIEURSWESEN. Ausbildungsschwerpunkte: BETRIEBSMANAGEMENT LOGISTIK. Xaver Schweitzer. Jahr: 2011/12

Ram/Rom/EPRom WIRTSCHAFTSINGENIEURSWESEN. Ausbildungsschwerpunkte: BETRIEBSMANAGEMENT LOGISTIK. Xaver Schweitzer. Jahr: 2011/12 Name: Klasse: Xaver Schweitzer 1BHWI Jahr: 2011/12 Ram/Rom/EPRom Abb. 1 Abb. 2 Abb. 3 Ram Rom EPRom 22.09.2011 1 von 10 Inhaltsverzeichnis INHALTSVERZEICHNIS... 2 EINLEITUNG... 3 RAM... 4 SRAM - Static

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Automation und Prozessrechentechnik

Automation und Prozessrechentechnik Automation und Prozessrechentechnik Sommersemester 2 Prozessrechner, Mikroprozessor Aufgabe eines Prozessrechners Ein Prozessrechner ist ein (digitaler) Rechner, der einen technischen Prozess nach Vorgaben

Mehr

1 Architektur von Rechnern und Prozessoren Cache-Speicher (11) Ersetzungsstrategie

1 Architektur von Rechnern und Prozessoren Cache-Speicher (11) Ersetzungsstrategie 1.2.4.1 Cache-Speicher (11) Ersetzungsstrategie Welcher Block wird ersetzt? Verschiedene Strategien LSF: Least Frequently Used LRU: Last Recently Used FIFO: First-In First-Out Random: zufällige Auswahl

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Elektrizitätslehre und Elektronik. Halbleiterspeicher

Elektrizitätslehre und Elektronik. Halbleiterspeicher 1/5 Halbleiterspeicher Ein Halbleiterspeicher ist ein Datenspeicher, der aus einem Halbleiter besteht, in dem mittels der Halbleitertechnologie integrierte Schaltkreise realisiert werden. Die Daten werden

Mehr

Alle Speicherplatinen können als Programm- bzw. Arbeitsspeicher

Alle Speicherplatinen können als Programm- bzw. Arbeitsspeicher -1-1. Überblick Das System.009 umfaßt programmierbare binäre Steuereinrichtungen für den industriellen Einsatz. Charakteristisch ist, daß die BOOLEschen Gleichungen, die den konkreten Steueralgorithmus

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Rechnerorganisation 2 TOY. Karl C. Posch. co1.ro_2003. Karl.Posch@iaik.tugraz.at 16.03.2011

Rechnerorganisation 2 TOY. Karl C. Posch. co1.ro_2003. Karl.Posch@iaik.tugraz.at 16.03.2011 Technische Universität Graz Institut tfür Angewandte Informationsverarbeitung und Kommunikationstechnologie Rechnerorganisation 2 TOY Karl C. Posch Karl.Posch@iaik.tugraz.at co1.ro_2003. 1 Ausblick. Erste

Mehr

Solid State Drive SSD (Festkörperlaufwerk) von Axel Ristow 18. Mai 2009

Solid State Drive SSD (Festkörperlaufwerk) von Axel Ristow 18. Mai 2009 Solid State Drive SSD (Festkörperlaufwerk) von Axel Ristow 18. Mai 2009 Gliederung 1. Was ist ein Solid State Drive? 2. Aufbau und Funktionsweise 3. Leistungsvergleich 4. Ausblick 5. Quellen Seite 2/19

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Teil 2.3. Welche Hardware (Elektronik) benutzt ein Computer zum Speichern von Daten?

Teil 2.3. Welche Hardware (Elektronik) benutzt ein Computer zum Speichern von Daten? Speichern von Daten Teil 2.3 Welche Hardware (Elektronik) benutzt ein Computer zum Speichern von Daten? 1 von 23 Inhaltsverzeichnis 3... Speicher sind Wichtig! 4... Speicher Einheiten 7... Flüchtiger Speicher

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

Grundlegendes zum PC

Grundlegendes zum PC Grundlegendes zum PC Grundsätzlicher Aufbau eines PC Bild eines PC Beschreibung Eingabegeräte Ausgabegeräte Speicher Sonstige Bild eines PC Beschreibung Sind alle gleich die PC Sind in 3 bereiche eingeteilt:

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI)

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI) Serielle Kommunikation mit dem Arduino Teil 1: Das Serial Peripheral Interface (SPI) Axel Attraktor e.v. 4. Juni 2012 Axel (Attraktor e.v.) 5. Arduino-Stammtisch 4. Juni 2012 1 / 25 Serielle Kommunikation

Mehr

MSP 430. Einführung. Was kann er? Hauptthemen. Wie sieht er aus? 64 / 100 polig. Was kann er? MSP 430 1

MSP 430. Einführung. Was kann er? Hauptthemen. Wie sieht er aus? 64 / 100 polig. Was kann er? MSP 430 1 MSP 430 Mixed Signal Microcontroller MSP 430 Einführung Der Mikrocontrollers MSP430 von Texas Instruments Das Entwicklungsboard MSP-STK 430A320 http://www.ti.com Texas Instruments 1 Texas Instruments 2

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr