FAKULTÄT FÜR INFORMATIK

Größe: px
Ab Seite anzeigen:

Download "FAKULTÄT FÜR INFORMATIK"

Transkript

1 FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Lösungsvorschlag zur Zentralübung Antworten auf die Fragen im Text Was für Standardschaltnetze könnte es evtl. noch geben? Die mikroprogrammierbare Maschine hält noch ein paar typische Schaltnetze bereit, wie z. B. Inkrementer, Nulldetektor, Übertragssteuerung etc. Ausserdem sind auch die ALU und das Mapping-PROM (nach seiner Programmierung) recht komplexe Schaltnetze. Stellen Sie die Wahrheitstabelle für einen 1-aus-4-Encoder auf, der Binärzahlen als Code verwendet. e 0 e 1 e 2 e 3 c 1 c Rest beliebig Wie sieht die Gatterrealisierung dieses Encoders aus? e1 e3 >=1 c0 e2 >=1 c1 1

2 Wie sieht die VHDL-Realisierung dieses Encoders aus? -- e ist ein std_logic_vector(3 downto 0) -- c ist ein std_logic_vector(1 downto 0) process(e) case e is when "1000" => c<="00"; when "0100" => c<="01"; when "0010" => c<="10"; when "0001" => c<="11"; when others => c<="00"; -- alle anderen Fälle (wg. Z/L/H/...) end case; end process; Wie sieht die allgemeine Wahrheitstabelle eines Decoders aus? e 0... e M 1 a 0 a 1 a 2... a N 1 C C C C N Stellen Sie die Wahrheitstabelle für einen Binärdecoder auf, der 2-Bit-Worte am Eingang verarbeitet. e 1 e 0 a 0 a 1 a 2 a Wie sieht die Gatterrealisierung dieses Decoders aus? e0 e1 a0 a1 a2 a3 2

3 Die nicht ausgefüllten Kreise an den Eingängen der UND-Gatter sind eine Abkürzung für eine vorgeschaltete Negation. Der algebraische Ausdruck für a 0 lautet demnach a 0 = e 0 e 1. Wie sieht die VHDL-Realisierung dieses Decoders aus? process(e) case e is when "00" => c<="1000"; when "01" => c<="0100"; when "10" => c<="0010"; when "11" => c<="0001"; when others => c<="1000"; -- std_logic könnte noch andere Werte end case; -- ausser 0 und 1 haben, daher when others! end process; Wie kann man sich einen Multiplexer bzw. einen Demultiplexer aus einzelnen Gattern zusammengesetzt vorstellen? e0 Mischer e1 e2 >=1 a e3 c0 c1 Dekoder Der Demultiplexer wird analog aus einem Dekoder plus einem Datenwegverteiler aufgebaut. Aufgabe 12.1 Stellen wir zunächst eine Funktionstabelle für den gesamten Demultiplexer auf. Zur Erhöhung der Übersichtlichkeit wollen wir uns dabei auf die Fälle beschränken, bei denen der Eingang E = 1 ist, da andernfalls sowieso sämtliche Ausgänge A i = 0 sind: 3

4 S 2 S 1 S 0 A 7 A 6 A 5 A 4 A 3 A 2 A 1 A Aus dieser Funktionstabelle können wir nun leicht die Wahrheitstabellen für die einzelnen Ausgänge ableiten, indem wir die disjunktive Normalform (DNF) bilden. Die DNF ist hierbei vorzuziehen, da die Einsmenge jeder Ausgangs-Schaltfunktion jeweils nur aus einem Minterm besteht. Wahrheitstabellen: S 2 S 1 S 0 E A A 0 = E S 2 S 1 S 0 S 2 S 1 S 0 E A A 1 = E S 2 S 1 S 0 S 2 S 1 S 0 E A A 2 = E S 2 S 1 S 0 S 2 S 1 S 0 E A A 3 = E S 2 S 1 S 0 S 2 S 1 S 0 E A A 4 = E S 2 S 1 S 0 S 2 S 1 S 0 E A A 5 = E S 2 S 1 S 0 S 2 S 1 S 0 E A A 6 = E S 2 S 1 S 0 S 2 S 1 S 0 E A A 7 = E S 2 S 1 S 0 Die VHDL-Realisierung geht vom Verhalten aus, d.h. abhängig von c soll e auf die einzelnen Bits von a geschaltet werden. 4

5 -- (Process-Kontext) a<=" "; -- Standardbelegung: Alle Bits auf 0 case c is when "000" => a(0)<=e; when "001" => a(1)<=e; when "010" => a(2)<=e; when "011" => a(3)<=e; when "100" => a(4)<=e; when "101" => a(5)<=e; when "110" => a(6)<=e; when "111" => a(7)<=e; when others => end case; Aufgabe 12.2 Addierer Wie lautet die Wahrheitstabelle eines 1-Bit-Volladdierers? Ein Volladdierer realisiert die schriftliche Addition zweier einzelner Bits (a,b) zuzüglich eines Übertrags von einer niedrigerwertigen Stelle (c in). Erzeugt wird ein Summenbit (y) sowie ein Übertrag (c out). c in a b y c out Kann man den Volladdierer statt mit einer herkömmlichen DNF oder KNF auch geschickter durch andere Gatterfunktionen darstellen? (Tip: XOR-Funktion!) Eine Darstellung von Summen- und Übertragsfunktion mit Hilfe von DNF oder KNF ist hier nur mäßig optimal, da jeweils vier Min- bzw. Maxterme benötigt würden. Teilt man die Tabelle jedoch (wie durch den horizontalen Strich angedeutet) in zwei Teile auf, so kann man folgende Feststellungen machen: Der obere Teil der Summenfunktion entspricht einer XOR-Funktion! Der untere Teil der Summenfunktion entspricht einer negierten XOR-Funktion (XNOR). Ein XOR-Gatter kann man auch als schaltbaren Inverter auffassen: Sobald ein Eingang (der Schalteingang) aktiv ist, wird der andere negiert, andernfalls entspricht das Ausgangssignal dem Eingang. 5

6 Hieraus kann man eine sehr einfache Darstellung für die Summenfunktion ableiten. Das XOR- Gatter wird dabei durch ein = 1-Zeichen dargestellt: a b = 1 c_in = 1 y Bei der Übertragsfunktion kann man leider nicht so schön vereinfachen und ist im wesentlichen auf die methodische Herleitung via DNF/KNF angewiesen. Wie sieht das Blockschaltbild (also die Struktur) eines aus zwei 1-Bit-Addierern zusammengesetzten 2-Bit-Addierers aus? y1 y0 c_out1 VA1 c_in1 c_out0 VA0 c_in0 a1 b1 a0 b0 Die Erzeugung eines Übertrag eines Mehr-Bit-Addierers durch Verkettung der Überträge der Einzelbit-Addierer nennt man Ripple Carry im Gegensatz zum sogenannten Carry-Look-Ahead- Verfahren, bei dem der resultierende Übertrag direkt per Schaltfunktion aus den Eingangssignalen ermittelt wird. Das erste Verfahren braucht dabei nur wenige Ressourcen (Gatter), ist aber wegen seiner Mehrstufigkeit bei einer großen Anzahl von Bits eventuell langsam, während das zweite Verfahren sehr viel Logik benötigt, die aber zweistufig aufgebaut sein kann und somit hohe Schaltgeschwindigkeiten zulässt. Beschreibung eines 2Bit Addierers in VHDL in Gattergleichungen entity adder2_bit is port (a,b: in unsigned(1 downto 0); c_in: std_logic; y: out unsigned(2 downto 0) ); end entity; architecture algebraic of adder2_bit is signal carry: unsigned(2 downto 1); y(0) <= a(0) xor b(0) xor c_in; carry(1) <= (a(0) and b(0)) or (c_in and (a(0) or b(0))); 6

7 y(1) <= a(1) xor b(1) xor carry(1); carry(2) <= (a(1) and b(1)) or (carry(1) and (a(1) or b(1))); y(2) <= carry(2); end algebraic; Verhaltensbeschreibung eines 2Bit Addierers in VHDL architecture behavioral of adder_2bit is y<=( 0 a)+( 0 b)+("00"c_in); end behavioral; Hier werden mit dem Vektor-Konkatenationsgsoperator zum Beispiel die beiden 2Bit Vektoren a und b mit jeweils einer führenden 0 ergänzt, damit das Ergebnis der Addition mit Übertrag dem 3stelligen Ergebnisvektor zugewiesen werden kann. Der Additionsoperator ist (wie auch die anderen Grundrechenarten) nur für Vektoren vom Typ signed bzw. unsigned definiert. Aufgabe 12.3 a) library IEEE; use IEEE.std_logic_1164.all; entity dec_7seg is port ( wert: in std_logic_vector(3 downto 0); -- 4Bit Eingang segmente: out std_logic_vector(6 downto 0) -- 7Bit Ausgang ); end dec_7seg; architecture lsg113 of dec_7seg is process(wert) case wert is when "0000" => segmente<=" "; -- Werte wie in Aufg. 2.2a when "0001" => segmente<=" "; -- 1 when "0010" => segmente<=" "; when "0011" => segmente<=" "; when "0100" => segmente<=" "; when "0101" => segmente<=" "; -- 5 when "0110" => segmente<=" "; when "0111" => segmente<=" "; when "1000" => segmente<=" "; when "1001" => segmente<=" "; -- 9 when others => segmente<=" "; -- Alle verbliebenen Werte end case; end process; end lsg113; Natürlich wäre es in VHDL auch möglich, die Übersetzung direkt über eine Tabelle zu beschreiben. Dazu müssen allerdings spezielle Typen deklariert werden, was hier zu weit gehen würden. 7

8 b) Die Gatterrealisierung des 74LS48 entspricht tatsächlich in großen Teilen dem Ergebnis, würde man den VHDL-Code von 12.3a mit einem Syntheseprogramm in Gatter umwandeln. Das Grundprinzip basiert zwar auf einer disjunktiven Normalform für jeden Ausgang, allerdings ist durch die technologisch bedingte Vorliebe von AND und NAND-Gattern die Struktur nicht mehr einfach zu durchschauen. Müsste man jetzt in der Gatterdarstellung das Aussehen einer Ziffer ändern (z. B. Hinzufügen des oberen Querbalkens bei der Ziffer 6), wäre das nur schwer machbar. In VHDL wäre es die Änderung einer Zeile. Aufgabe 12.4 a) Die Funktionstabelle eines 4-zu-1-Multiplexers sieht folgendermaßen aus: C 1 C 0 A 0 0 E E E E 3 Eine vollständige Wahrheitstabelle aufzuzeichnen, wäre zu umfangreich: Mit den zwei Steuereingängen C 1 und C 0 sowie den Dateneingängen E hätte man 6 Eingänge, die zu einer 64 Zeilen langen Wahrheitstabelle führen würden. Es ist daher in diesem Fall einfacher, eine disjunktive algebraische Normalform direkt niederzuschreiben. Nach dem Prinzip des Mischers kann man nämlich jeweils einen der vier Eingänge auf den Ausgang schalten, indem man die passende Bedingung konjunktiv (also per UND) mit dem Eingang verknüpft: A = E 0 Bedingung für 0 + E 1 Bedingung für 1 + E 2 Bedingung für 2 + E 3 Bedingung für 3 Man erhält schließlich: A = E 0 C 0 C 1 +E 1 C 0 C 1 +E 2 C 0 C 1 +E 3 C 1 C 0 b) In VHDL-Schreibweise erhalten wir unterschiedliche Varianten, je nachdem, ob algebraische Formeln bzw. das Verhalten beschreiben oder einzelne Signale für Eingänge und Steuersignale verwenden (e0...e(3) bzw. c1, c0) oder ob wir Busse (Bitvektoren) verwenden (e(0...3) und c(1) bzw. c(0)). Bei der Unterscheidung Einzelsignale/Vektoren muss die ENTITY-Deklaration entsprechend angepasst sein. Man beachte ausserdem, dass die logischen Operatoren NOT, AND und OR keine unterschiedliche Präzedenz haben und daher Klammern bei den Ausdrücken verwendet werden müssen. Der Unterschied zwischen algebraischer Darstellung und dem Verhalten benötigt dagegen keine Änderung der Entity. Variante 1: Einzelne Signale 8

9 entity mux4_1 IS port ( e0, e1, e2, e3, c0, c1: in std_logic; a: out std_logic ); end mux4_1; architecture algebraic of mux4_1 is a <= (e0 and (not c0) and (not c1)) or (e1 and c0 and (not c1)) or (e2 and (not c0) and c1) or (e3 and c0 and c1); end algebraic; Variante 2a: Bitvektoren, algebraisch entity mux4_1b IS port ( e: in std_logic_vector (3 downto 0); c: in std_logic_vector (1 downto 0); a: out std_logic ); end mux4_1b; architecture algebraic of mux4_1b is a <= (e(0) and (not c(0)) and (not c(1))) or (e(1) and c(0) and (not c(1))) or (e(2) and (not c(0)) and c(1)) or (e(3) and c(0) and c(1)); end algebraic; Variante 2b: Bitvektoren, Verhalten -- Lösung mit Concurrent Statements architecture behavioral of mux4_1b is a <= e(0) when c="00" else e(1) when c="01" else e(2) when c="10" else e(3); end behavioral; Die Deklaration der Bitvektoren erfolgte hierbei mit absteigender Reihenfolge (downto- Schlüsselwort). Diese Art der Deklaration ist üblicher als die aufsteigende Version mit TO. Der Grund dafür liegt in Zuweisungen von konstanten Werten ( Bitstrings ) an Busse. Bei einer Deklaration x: std logic vector (3 downto 0); wird bei der Zuweisung x <= "0101" das MSB wie in der üblichen Interpretation (MSB=höchste Bitnummer) auf 0 gesetzt, andernfalls auf 1, da die ganze Zuweisung dann gespiegelt erfolgen würde. Hinweis: Bei IBM fängt das höchstwertige Bit zwar auch links, aber mit der Nummer 0 an! 9

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

II. Grundlagen der Programmierung

II. Grundlagen der Programmierung II. Grundlagen der Programmierung II.1. Zahlenssteme und elementare Logik 1.1. Zahlenssteme 1.1.1. Ganze Zahlen Ganze Zahlen werden im Dezimalsstem als Folge von Ziffern 0, 1,..., 9 dargestellt, z.b. 123

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

5. Vorlesung: Normalformen

5. Vorlesung: Normalformen 5. Vorlesung: Normalformen Wiederholung Vollständige Systeme Minterme Maxterme Disjunktive Normalform (DNF) Konjunktive Normalform (KNF) 1 XOR (Antivalenz) X X X X X X ( X X ) ( X X ) 1 2 1 2 1 2 1 2 1

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

2. Einführung in VHDL

2. Einführung in VHDL 2. Einführung in VHDL Programm für heute: Motivation für eine Hardwarebeschreibungssprache Aufbau einer VHDL-Beschreibung Signale Zuweisungen Wertebereich Schnittstellen Entity und Architecture Hardwareanalogie

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben Aufgabe 1 -- Aufgabe 1 -- 8 zu 1 Decoder entity DECODER1X8 is port( S: in bit_vector(2 downto 0); Y: out bit_vector(7 downto 0)); end DECODER1X8;

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

Logik (Teschl/Teschl 1.1 und 1.3)

Logik (Teschl/Teschl 1.1 und 1.3) Logik (Teschl/Teschl 1.1 und 1.3) Eine Aussage ist ein Satz, von dem man eindeutig entscheiden kann, ob er wahr (true, = 1) oder falsch (false, = 0) ist. Beispiele a: 1 + 1 = 2 b: Darmstadt liegt in Bayern.

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Normalformen von Schaltfunktionen

Normalformen von Schaltfunktionen Disjunktive Normalform (DNF) Vorgehen: 2. Aussuchen der Zeilen, in denen die Ausgangsvariable den Zustand 1 hat 3. Die Eingangsvariablen einer Zeile werden UND-verknüpft a. Variablen mit Zustand 1 werden

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

A.1 Schaltfunktionen und Schaltnetze

A.1 Schaltfunktionen und Schaltnetze Schaltfunktionen und Schaltnetze A. Schaltfunktionen und Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Bedeutung des Binärsystems für den Rechneraufbau Seit Beginn der Entwicklung von Computerhardware

Mehr

Technische Informatik - Eine Einführung

Technische Informatik - Eine Einführung Martin-Luther-Universität Halle-Wittenberg Fachbereich Mathematik und Informatik Lehrstuhl für Technische Informatik Prof. P. Molitor Technische Informatik - Eine Einführung Boolesche Funktionen - Grundlagen

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 GTI ÜBUNG 9 Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK AUFGABE CMOS Beschreibung: Sei die Schaltfunktion f x 3, x 2, x, x 0 = x 0 x x

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Humboldt-Universität zu Berlin, Dr. Winkler Digitale Systeme - Grundlagen

Humboldt-Universität zu Berlin, Dr. Winkler Digitale Systeme - Grundlagen 4 kombinatorische Schaltungen Kombinatorik: Synthese: die Ausgangsvariable ist nur eine Funktion der Eingangsvariablen: y = f (,,... x n ) - realisierbar durch Verknüpfung mit Grundgattern - keine Rückführung

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 2.1 Das folgende Schaltbild soll in eine VHDL-Verhaltensbeschreibung übertragen werden. Lösung 2.1 Jedes Schaltbild aus logischen Grundelementen kann in eine logische Gleichung

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Systembeschreibung und Entwurf

Systembeschreibung und Entwurf Systembeschreibung und Entwurf Systemspezifikation mit Hardwarebeschreibungssprachen Bernhard Hoppe Thomas Schumann Hochschule Darmstadt University of Applied Sciences Inhaltsverzeichnis Inhaltsverzeichnis

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

(Prüfungs-)Aufgaben zu Schaltnetzen

(Prüfungs-)Aufgaben zu Schaltnetzen (Prüfungs-)Aufgaben zu Schaltnetzen 1) Gegeben sei die binäre Funktion f(a,b,c,d) durch folgende Wertetabelle: a b c d f(a,b,c,d) 0 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 a) Geben Sie die disjunktive Normalform

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

Kombinatorische Schaltwerke

Kombinatorische Schaltwerke Informationstechnisches Gymnasium Leutkirch Kombinatorische Schaltwerke Informationstechnik (IT) Gemäß Bildungsplan für das berufliche Gymnasium der dreijährigen Aufbauform an der Geschwister-Scholl-Schule

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 5 Rechnerarithmetik

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009 Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer 19. Februar 2009 Fachbereich 12: Informatik und Mathematik Institut für

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

5 Verarbeitungsschaltungen

5 Verarbeitungsschaltungen 5 Verarbeitungsschaltungen Folie 1 5 Verarbeitungsschaltungen Häufig genutzte Funktionen gibt es als fertige Bausteine zu kaufen. 5.1 Addierer logische Schaltungen zur Addition zweier Dualzahlen Alle Grundrechenarten

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik WS 2013/14 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 21. Oktober 2013 1/33 1 Boolesche

Mehr

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Steuerwerk einer CPU Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Implementierung des Datenpfads Direkte Implementierung Mikroprogrammierung

Mehr

BA-Mannheim, 1. Semester IT Digitaltechnik (Hr.Schillack)

BA-Mannheim, 1. Semester IT Digitaltechnik (Hr.Schillack) Dies ist nun also die freundlicherweise von mir mitgetippte Fassung der Vorlesung Digitaltechnik (. Semester) bei Hr. Schillack an der BA-Mannheim. Ich hoffe ihr könnt damit was anfangen. Fehler, Kritik,

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben.

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben. Aufgabe 1.30 : Schreibe ein Programm DM_in_Euro.java zur Umrechnung eines DM-Betrags in Euro unter Verwendung einer Konstanten für den Umrechnungsfaktor. Das Programm soll den DM-Betrag als Parameter verarbeiten.

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Kapitel 2. Kombinatorische Schaltungen. Codierer und Decodierer

Kapitel 2. Kombinatorische Schaltungen. Codierer und Decodierer Kapitel 2 Kombinatorische Schaltungen Definition nach DIN 44300/93 Ein Schaltnetz oder kombinatorischer Funktionsblock ist eine Funktionseinheit zum Verarbeiten von Schaltvariablen, deren Wert am Ausgang

Mehr

5.0 Kombinatorische Schaltkreise, Schaltnetze

5.0 Kombinatorische Schaltkreise, Schaltnetze 5.0 Kombinatorische Schaltkreise, Schaltnetze Ziel des Kapitels ist es Kenntnisse über folgendes zu erwerben: Synthese von Schaltnetzen Analyse von Schaltnetzen - Logische Analyse - Laufzeiteffekte in

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 2 Kombinatorische

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

Digitalelektronik - Inhalt

Digitalelektronik - Inhalt Digitalelektronik - Inhalt Grundlagen Signale und Werte Rechenregeln, Verknüpfungsregeln Boolesche Algebra, Funktionsdarstellungen Codes Schaltungsentwurf Kombinatorik Sequentielle Schaltungen Entwurfswerkzeuge

Mehr

Hinweise. Auswertung. Einführung in die Technische Informatik WS 2008/2009 Probeklausur. Aachen, 12. Januar 2009 SWS: V4/Ü2, ECTS: 7

Hinweise. Auswertung. Einführung in die Technische Informatik WS 2008/2009 Probeklausur. Aachen, 12. Januar 2009 SWS: V4/Ü2, ECTS: 7 Professor Dr.-Ing. Stefan Kowalewski Dipl.-Inform. Daniel Merschen Dr. rer. nat. Alexander Ferrein Aachen, 12. Januar 2009 SWS: V4/Ü2, ECTS: 7 Einführung in die Technische Informatik WS 2008/2009 Probeklausur

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlagen der Informatik Teil III Boolesche Algebra, Signalarten, Elektronische Bauteile Seite 1 Boolesche Algebra George Boole => englischer Mathematiker Mitte 19. Jahrhundert Formale Sicht digitaler

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr. Jürgen Reichardt, Oldenbourg Verlag München Inhaltsverzeichnis Vorwort V 1 Einleitung 1 1.1 Die Hardwarebeschreibungssprache VHDL 3 1.2 Digitale

Mehr

Rechnenund. Systemtechnik

Rechnenund. Systemtechnik Rechnen- und Systemtechnik 1 / 29 Rechnenund Systemtechnik Skript und Unterrichtsmitschrift April 22 Rechnen- und Systemtechnik 2 / 29 nhaltsverzeichnis 1. Grundbausteine der Digitaltechnik... 4 1.1. UND-Verknüpfungen

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

a. Welche der folgenden Terme können als Minterm, Maxterm, beides oder keines von beidem dargestellt werden:

a. Welche der folgenden Terme können als Minterm, Maxterm, beides oder keines von beidem dargestellt werden: Übungen zur Vorlesung Technische Informatik I, SS 2002 Hauck / Guenkova-Luy / Prager / hen Übungsblatt 1 oolesche lgebra /Kombinatorische Logik ufgabe 1: a. Welche der folgenden Terme können als Minterm,

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Michael Walz Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorwort 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr.Jürgen Reichardt 3., überarbeitete und erweiterte Auflage Oldenbourg Verlag München Inhaltsverzeichnis Vorwort zur 3. Auflage V 1 Einleitung

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

TU5 Aussagenlogik II

TU5 Aussagenlogik II TU5 Aussagenlogik II Daniela Andrade daniela.andrade@tum.de 21.11.2016 1 / 21 Kleine Anmerkung Meine Folien basieren auf den DS Trainer von Carlos Camino, den ihr auf www.carlos-camino.de/ds findet ;)

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

1 Hardwareentwurf. 1.1 Grundlagen

1 Hardwareentwurf. 1.1 Grundlagen 1 Hardwareentwurf 1.1 Grundlagen POSITIVE natürliche Zahlen N NATURAL N 0 INTEGER ganze Zahlen Z REAL reelle Zahlen R BOOLEAN (true, false), (low, high) BIT ( 0, 1 ) CHARACTER (..., A, B,..., a, b,...,

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

Antwort: h = 5.70 bit Erklärung: Wahrscheinlichkeit p = 1/52, Informationsgehalt h = ld(1/p) => h = ld(52) = 5.70 bit

Antwort: h = 5.70 bit Erklärung: Wahrscheinlichkeit p = 1/52, Informationsgehalt h = ld(1/p) => h = ld(52) = 5.70 bit Übung 1 Achtung: ld(x) = Logarithmus dualis: ld(x) = log(x)/log(2) = ln(x)/ln(2)! Aufgabe 1 Frage: Wie gross ist der Informationsgehalt einer zufällig aus einem Stapel von 52 Bridgekarten gezogenen Spielkarte?

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.15 Fan-In und Fan-Out 2.16 Standard-Schaltnetze

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.15 Fan-In und Fan-Out 2.16 Standard-Schaltnetze Computersysteme 2. Grundlagen Digitaler Schaltungen 2.5 Fan-In und Fan-Out 2.6 Standard-Schaltnetze 2.5 Fan-In und Fan-Out: Fan-In: Die Anzahl der Eingänge in ein Gatter. Bestimmt die Anzahl der Transistoren

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Aussagenlogik. Aussagen und Aussagenverknüpfungen

Aussagenlogik. Aussagen und Aussagenverknüpfungen Aussagenlogik Aussagen und Aussagenverknüpfungen Aussagen sind Sätze, von denen sich sinnvollerweise sagen läßt, sie seien wahr oder falsch. Jede Aussage besitzt also einen von zwei möglichen Wahrheitswerten,

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

TU ILMENAU Fak. IA - FG Neuroinformatik & Kognitive Robotik. Vorkurs Informatik September Kurs: I 1. Dr. Klaus Debes.

TU ILMENAU Fak. IA - FG Neuroinformatik & Kognitive Robotik. Vorkurs Informatik September Kurs: I 1. Dr. Klaus Debes. Vorkurs Informatik September 2016 Kurs: I 1 Dr. Klaus Debes klaus.debes@tu-ilmenau.de Tel. 03677-69 27 70, 69 28 58 http://www.tu-ilmenau.de/neurob Teaching Wintersemester Vorkurs Informatik Übersicht

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Schaltalgebra und kombinatorische Logik

Schaltalgebra und kombinatorische Logik Schaltalgebra und kombinatorische Logik. Digitale elektrische Schaltungen 2. Beschreibung durch logische Ausdrücke 3. Boolesche Algebra 4. Schaltfunktionen 5. Synthese von Schaltungen 6. Schaltnetze *Die

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

Rechnerorganisation I Zusammenfassung

Rechnerorganisation I Zusammenfassung Universität der Bundeswehr München Fakultät für Informatik Institut für Technische Informatik Rechnerorganisation I Zusammenfassung Tobias Kiesling kiesling@informatik.unibw-muenchen.de 09.12.2003 2. Boole

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

Von-Neumann-Rechner / Rechenwerk

Von-Neumann-Rechner / Rechenwerk Von-Neumann-Rechner / Rechenwerk Aufgaben: Durchführung arithmetischer und logischer Verknüpfungen (daher auch der Name Arithmetic Logical Unit) Steuerwerk und Rechenwerk werden usammen auch als CPU usammengefasst.

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Aufgabe : Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Hinweis: Die Aufgabenpunkte. bis.4 können unabhängig voneinander gelöst werden! Bei Multiple-Choice Aufgaben können mehrere Antworten

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M 1 Übersicht Im Praktikum zur Vorlesung Computergestütztes Experimentieren I wird der Vorlesungsstoff geübt und vertieft. Ausserdem werden die speziellen

Mehr

Übung 7: VHDL Automaten

Übung 7: VHDL Automaten Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten

Mehr