Spartan-II 2,5V Familie FPGAs

Größe: px
Ab Seite anzeigen:

Download "Spartan-II 2,5V Familie FPGAs"

Transkript

1 - 1 - Spartan-II 2,5V Familie FPGAs Proseminar FPGAs SS Einfuehrung 2. Architektur 2.1 Ein-/Ausgabe Block 2.2 Konfigurierbare Logik Block (CLB) 2.3 Programmierbare Routing Matrix (PRM) 2.4 Block SelectRAM+ 2.5 DLLs 3. Zusammenfassung Datenblatt aus( Xilinx: Spartan-II 2.5 FPGAs/ April 7, 2000 Advance Product Specification) Li Wenchao

2 Einfuehrung Spartan-II FPGA, wie die Abb.1 gezeigt, wird durch fuenf wichtige konfigurierbare Elemente implementiert. CLBs, die mit einer regelmaessigen, flexiblen, programmierbaren Architektur aufgebaut sind, liefern die Funktionselemente fuer das Konstruieren der meisten Logik. Durch diese besondere Architektur des CLBs kann die Logik einfach mit anderen Teilen verbunden werden. Die programmierbare IOBs bilden das Interface zwischen den Pins und der internen Logik. Um die Signale zwischen Chips leicht und schnell aendern zu koennen, befinden sich IOBs auf allen Seite des Chips. Jeder BlockRAM ist 4 CLBs hoch und umfasst 4096 Bits. Um die Verzoegerung der Taktgeberverteilung zu eliminieren und die Taktgeber zu kontrollieren, koennen die DLLs verwendet werden. Durch eine komplexe Hierarchie von Routingresource, naemlich PRM, werden alle anderen Elements zusammengeschaltet. (Abb.1 Spartan-II Family FPGA Block)

3 Architektonische Beschreibung 2.1 Ein-/Ausgang Block(IOB) Die drei Registern in IOBs koennen entweder als flankengesteuerte D-flip-flops oder als Pegel-gesteuerte Latches implementiert werden. Jedes IOB hat auch Taktgeber(CLK)- und Freigabesignale, auf die drei Register verteilt. Ausserdem bekommen die drei Registern auch ein Set/Reset Signal(SR). Dieses Signal kann als ein synchrone Set/Reset oder ein asynchrones Preset/Clear konfiguriert werden. Die Eingabe- und Ausgabepuffer und alle IOB-Steuersignale haben Polaritaetskontrollen, die durch die Software kontrollierbar ist. Zwei Formen von Ueberspannungsschutz schuetzen die Stromkreis gegen die elektrische Entladung und transiente Ueberspannung. Es gibt noch "pull-up" und "pull-down" Widerstaende und ein "weak-keeper" Kanal. Die Aktivitaet der "pull-up" Widerstaend ist durch die Konfiguration kontrollierbar. Der "weak-keeper" Kanal wird mit jedem Ausgang verbunden. Wenn er aktiv ist, erzeugt der Kanal die Spannung und treibt die Pins, das Eingangssignal anzupassen. Wenn die Pins ein Multiple-Source Signal verbunden sind, haelt der Kanal das Signal in seinem letzten Zustand, wenn alle Treiber ausgeschaltet sind. Die Schwingungen wird dadurch eliminiert. Eingabepuffer Eingabepuffer koennen das Eingangssignal entweder direkt zur internen Logik oder durch eine Eingangsflip-Flop senden. Eingabepuffer haben auch ein Element, das D-Eingang dieser Flip-Flop verbunden wird. Dieses Element garantiert die Verzoegerung immer Null, wenn es verwendet wird. Jeder Eingangspuffer kann prorammiert werden, um sich an verschiedene Standards mit niedriger Spannung anzupassen. Bei einigen dieser Standards verwendet der Eingangspuffer eine "user-supplied" Spannung, Vref. Es gibt pull-up und pull-down Widerstaende an jedem Eingang. Ihr Wert ist von 50 bis 150 Ohms. Ausgabepuffer Tri-State Ausgabepuffer treiben die Ausgangssignale. Das Ausgangssignal kann direkt vom interner Logik oder durch einen IOB-Ausgangsflip-Flop verlegt werden. Jeder Ausgangstreibe kann fuer beliebige Standards programmiert werden. In den meisten Standards haengt die Ausgangsspannung von einer externe gelieferten Spannung Vcco ab. Die Notwendigkeit von Vcco oder Vref begrenzt die Verwendungen der Standards. I/O Banking Unter I/O Banking versteht man die externe Spannung, die an die IOB-Pins angeschlossen wird. Es ist wichtig zu wissen, welche I/O-Standards innerhalb einer gegebenen Bank kombiniert werden koennen. Es gibt 8 I/O-Banke. Jede Bank hat mehrfache VccoPins, die an die gleiche Spannung angeschlossen werden muessen. Diese Spannung wird durch den gewaehlten Ausgangsstandard festgelegt.

4 I/O Standards Innerhalb einer Bank koennen Ausgangsstandards gemischt werden, wenn sie das gleiche Vcco verwenden. GTL und GTL+ koennen mit allen Spannungen verwendet werden, weil ihre Ausgaenge nicht von Vcco abhaengen. Die Vref pins innerhalb einer Bank koennen nur mit einer Vref Spannung zusammengeschaltet werden. Eingabepuffer, die Vref benoetigen, sind nicht 5V-tolerant. Aber LVTTL(Low-Voltage TTL), LVCMOS2(Low-Voltage CMOs for 2.5)und PCI(Peripheral Component Interface) sind 5V-tolerant. Fuer diese drei Standards ist die Terminationsspannung (Vtt) auch nicht wichtig. LVTTL hat ein LVTTL Eingabepuffer und ein Push-Pull Ausgabepuffer. Dieser Standard benoetigt 3.3V Ausgangsspannung(Vcco). LVCMOS2 benoetigt 2.5V Vcco Spannung. PCI, egal an 33MHz oder 66MHz PCI-bus, verwendet auch LVTTL Eingabepuffer und ein Push-Pull Ausgabepuffer. Dieser Standard verlangt auch 3.3V (Tab.1 I/OStandards) I/O Standard Input Reference Output Source Voltage Board Termination Voltage (Vref) (Vcco) Voltage (Vtt) LVTTL N/A 3.3 N/A LVCMOS2 N/A 2.5 N/A PCI(3/5V,33/66MHz) N/A 3.3 N/A GTL 0.8 N/A 1.2 GTL+ 1.0 N/A 1.5 HSTL Class I HSTL Class III HSTL Class IV SSTL3 Class I&II SSTL2 Class I&II CTT AGP-2X N/A 2.2 Konfigurierbar Logic Block (CLB) Das Grundelement des Spartann-II CLBs ist die Logikzelle (LC). Ein LC besteht aus einem Funktionsgenerator mit 4-Eingaengen, Carry-Logik und ein Speicherelement. Der Ausgang des Funktionsgenerators in jedem LC kann den CLB-Ausgang und den Eingang des D-Flip-Flops ansteuern. Jedes Spartan-II CLB enthaelt vier LCs, die in zwei aenliche SLICES organisiert werden. Der Funktionsgenerator unterstuetzt noch mehr Eingaenge(5 oder 6), die von einem Multiplexer verteilt werden. Deswegen man schaetzt die Anzahl der LCs in jedem CLB mit 4,5 ab.

5 - 5 - Lookup-Table Spartan-II Funktionsgenerator werden als 4-Eingaenge Look-up Table(LUTs) implementiert. Jedes LUT kann auch ein 16x1-bit synchrones RAM zur Verfuegung stellen. Ausserdem koennen die zwei LUTs in einer SLICE kombiniert werden, um 16 x 2-bit oder 32 x 1-bit synchrones RAM oder ein 16 x 1-bit dual-port synchrones RAM herzustellen. Das Spartan-II LUT kann auch wie ein 16-bit Schieberegister funktionieren, das fuer die Aufnahme der hohen Geschwindigkeits- oder Stossbetriebsdaten ideal ist. Dieser Modelle kann auch verwendet werden, um Daten in den Applikationen wie Digitales Signal Verarbeitung zu speichern. Speicherelemente Die Speicherelemente in der Spartan-II SLICE koennen entweder als flankengesteuerte D-flip-Flops oder als Pegel-gesteuert Latches konfiguriert werden. Die D-Eingaenge koennen entweder durch die Funktionsgeneratoren innerhalb der SLICE oder direkt von den SLICE-Eingaengen geladen werden. Ausser Taktgeber und Freigabe hat jede SLICE synchronen Set und Reset-Signale( SR und BY). SR kann ein Speicherelement in den Initialisierungszustand ansteuern. BY stellt es in den Gegenzustand ein. Sie koennen auch fuer asynchrone Operationen konfiguriert werden. Alle Steuersignale sind unabhaengig invertierbar und werden durch die zwei Flip-Flops innerhalb der SLICE geteilt. Zusatzlogik Der F5 Multiplexer in jeder SLICE kombiniert die Ausgaenge von Funktionsgeneratoren. Diese Kombination kann irgendeine Funktion mit 5-Eingaenge, einen 4:1 Multiplexer oder manche Funktionen mit zu 9 Eingaengen implementieren. Aehnlich kombiniert der F6 Multiplexer die Ausgaenge aller vier Funktionsgeneratoren im CLB, indem er einen der F5-multiplexerausgaenge vorwaehlt. Dieses ermoeglicht die Implementierung irgendeiner Funktion mit 6-Eingaenge, ein 8:1 Multiplexer oder manche Funktionen mit zu 19 Eingaengen. Jedes CLB hat vier direkte Rueckkoppelungswege, einen pro LC. Diese Wege liefern Extradateneingabelinien oder zusaetzliche lokale Routingresourcen. Arithmetische Logik Die arithmetische Logik besitzt ein XOR-Gatter und ein UND-Gatter. Das XOR-Gatter kann einen 1-bit VollAddiere in einem LC implementieren. Das UND-Gatter verbessert die Leistungsfaehigkeit bei der Implementierung von Multiplikation. BUFTs Jedes Spartan-II CLB enthaelt zwei 3-State Puffers(BUFTs), die on-chip Busse antreiben koennen. Jedes Spartan-II BUFT hat einen unabhaengigen 3-state Steuerungspin und einen unabhaengigen Eingangspin.

6 Programmierbar Routing Matrix (PRM) Lokales Routing Lokale Routing stellt die folgenden drei Arten bereit: Verbindungen zwischen den LUTs, den Flip-Flops und den GRMs Feedbackwege in CLBs liefern die hohe- Geschwindigkeitsanschluesse zu LUTs innerhalb des gleichen CLB, ketten sich zusammen mit minimaler Routing-Delay an. Direkte Verbindungen zwischen horizontal angrenzendem CLBs eliminieren die Verzoegerung vom GRM. Das GRM(General Routing Matrix) ist die Schaltmatrix, durch die die horizontale und vertikale Routingsresource verbunden werden, und ist auch das Mittel, durch die das CLB zum Routingzugriff erhaelt. General Purpose Routing Neben jedem CLB ist eine allgemeine Routingmatrix (GRM). 24 Einzelnlaengenlinien verlegen GRM-Signale mit angrenzendem GRMs in alle vier Richtungen. 96 Puffered-Hexline leiten die Signale des GRM zu anderen GRMs mit Abstand 6 in alle vier Richtungen. Hexline koennen nur an ihren Endpunkten getrieben werden. Hexlinessignale koennen entweder an den Endpunkten oder am Mittelpunkt zugriffen werden. Ein Drittel der Hexagonlinien sind umkehrbar, die restlichen nicht. 12 umkehrbare Puffered-Longlines leiten die Signale ueber der Chip schnell und effizient. I-/O Routing Spartan-II haben auch I/O Routingresource, die eine Schnittstelle zwischen CLBs und IOBs bilden. Dediziert Routing Zwei Signalbaureihe stellen zur Verfuegung. Horizontale Routingresourcen werden fuer 3-State-Busse des Chips zur Verfuegung gestellt. Vier unabhaengige Busleitungen werden pro CLB-Reihe zur Verfuegung gestellt. Zwei Netze im CLB leiten Signale vertikal zum angrenzenden CLB. Globale Routing Spartan-II besitzt zwei Arten der globalen Routingsresourcen, die als die primaere und sekundaere Routingsresource gekennzeichnet sind. Die primaeren Routingsresource sind vier dedizierte globale Netze, die die high-fanout Taktgebersignale mit wenige Verzoegerung verteilt. Jedes globale Taktgebernetz kann alle CLB, IOB und Block-RAM treiben. Die primaeren globalen Netzen koennen nur durch globale Puffer betrieben werden. Es gibt vier globale Puffer, einer fuer jedes globale Netz. Die sekundaeren globalen Routingsresource bestehen aus 24 backbone Linien, 12 ueber den Oberseite des chips und 12 ueber den Unterseite. Diese Sekundaerresouce sind flexibler als die Primaeresource, da diese nur auf Taktgeberspins eingeschraenkt werden.

7 2.4 Block SelectRAM+ Spartan-II liefert synchrones dual-read/write Port RAM, mit 4096 Speicherzellen. Jeder Port des BlockRAMs kann als Lese/Schreib-Port, ein Lese-Port, ein Schreib-Port unabhaengig konfiguriert werden und kann zu einer spezifischen Datenbreite konfiguriert werden. Eigenschaften des BlockRAMs 1.Alle Eingaenge werden mit dem Portstaktgeber registriert und haben eine Einstellung fuer die TIMING-Spezifikation. 2.Alle Ausgaenge haben Lesen oder Schreiben- Funktion abhaengig von dem Zustand des WE pins. 3.Block SelectRAM sind SRAM-Speicher und haben keinen Verbindungsleitung von die Adresse zum Ausgang. LUT SelectRAM+ im CLBs sind noch mit dieser Funktion vorhanden. 4.Die Ports sind voneinander vollstaendig unabhaengig. 5.Ein Schreib-/ Leseoperation erfordert nur eine Taktimpulseflanke. PortSignale Jedes Port des Block SelectRAM+ funktioniert unabhaengig von den anderen durch Zugriff der gleichen Anzahl von 4096 Speicherzellen. (Tab 2 Block SelectRAM+ Port Aspect Ratios) Width Depth ADDR Bus Data Bus ADDR<11:0> DATA<0> ADDR<10:0> DATA<1:0> ADDR<9:0> DATA<3:0> ADDR<8:0> DATA<7:0> ADDR<7:0> DATA<15:0> Enable-EN[A B ] Die EN-Pins beeinflussen die Funktionalitaet des Schreiben und Lesen. Inaktive EN-pins halten die Pins im vorhergehenden Zustand. Die Daten duefen nicht mehr zu den Speicherzellen schreiben. Write Enable-WE[A B ] Wenn dieser Pin aktiv ist, wird der Inhalt in den Datenbusse zum RAM an die Adresse geschrieben, die durch den Adressbus audgewaehlen wird, und die neuen Eingaben zeigen sich auch am Ausgang. Wenn unaktiviert, der Inhalt in den Speicherzellen reflektiert sich auf Ausgaengsbus. Es gibt auch die Moeglichkeit, dass beide Ports gleichzeitig zur gleichen Speicherzellen zugreifen.. Dann funktioniert eine Faehigkeit, die Konflikt aufzuloesen, wie unter gezeigt: Wenn beide Port zu gleichen Speicherzelle gleichzeitig schreiben, bleiben in dieser Speicherzelle gespeichte Daten unveraendert. Wenn ein Port das Lesen von einen Speicherzelle versucht und anderer schreibt gleichzeitig, erfolgt das Schreiben und die Ausgangsdaten von den Schreibenport ist wie die geschriebenen Daten. Die Ausgangsdaten von den Lesenport bleibt unveraendert. Konflikte verursachen keine physikalische Beschaedigung

8 DLL Es gibt vier digitale on-chip DLLs. Jedes DLL koennen bis zu zwei globale Taktgebersignale treiben. Durch die Taktgeberdivision mit die Ausgang CLKDV koennen die Quelletaktgeber durch N teilen, N ist oder 16. Das ist auch die wichtige CLKDV-DIVIDE-Eigenschaft. Eine andere wichtige Eigenschaft ist die DUTY-CYCLE-CORRECTION. Durch die DUTY-CYCLE-CORRECTION=True werden die 1X Ausgaenge(CLK0, CLK90, CLK180 und CLK270) mit 50/50 Zyklus erzeugt. Die vorgebende Werte von DUTY-CYCLE-CORRECTION ist True. Der Ausgang CLK2X liefern einen verdoppelten Taktgeber mit einer automatischen 50/50 Zyklus. 3. Zusammenfassung Auf der Virtex Familienarchitektur und mit mehr als 15 jaehrige Erfahrung wird Spartan II aufgebaut. Spartan II liefert 100,000 Gattern unter $10 und die innere Systemtakt bis 200-MHz. Durch seine besondere Architektur erzielen die Spartan II hoehe Leistung und niedrige Kosten. Die Spartan-II Familie hat z.z. fuenf Mitglieder. (Tab.3 Spartan II FPGA Glieder) Device Logic Cells Typical System Gate Range (Logic and RAM) CLB Array Total CLBs Maximum Available User I/O Total Block Ram Blocks Total Block RAM Bits XC2S , x ,384 XC2S ,000-30,000 12x ,576 XC2S50 1,728 23,000-50,000 16x ,768 XC2S100 2,700 37, ,000 20x ,960 XC2S150 3,888 52, ,000 24x ,152 XC2S200 5,292 71, ,000 28x42 1, ,344

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Cswitch Seminar. HWS 08 Von Andreas Peters. Cswitch Seminar, Andreas Peters

Cswitch Seminar. HWS 08 Von Andreas Peters. Cswitch Seminar, Andreas Peters Cswitch Seminar Von Andreas Peters 1 Gliederung Einführung Daten / Anwendungsgebiete Aufbau: Kommunikationsstruktur Vier Tiles Sonstige Features Kleine Zusammenfassung 2 Einführung Was ist Cswitch? 3 Exceeding

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Ein- / Ausgabe- Ports

Ein- / Ausgabe- Ports Ein- / Ausgabe- Ports Alle vier parallelen Ports sind bidirektional und bestehen aus einem 8-Bit-Special-Function- Register für die Ausgabedaten, einem Ausgangstreiber und einem Eingabepuffer. Jeder Port-

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

FPGA. Field Programmable Gate Array

FPGA. Field Programmable Gate Array FPGA Field Programmable Gate Array FPGA Was ist das? Das FPGA ist ein relativ neuer, programmierbarer Baustein, der zum Aufbau digitaler, logischer Schaltungen dient. Aufbau Ein FPGA besteht aus einzelnen

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Verlustleistungsreduzierung in Datenpfaden

Verlustleistungsreduzierung in Datenpfaden Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1 Einführung Zusatzfolien A Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 19 November 2009 1 Empfehlungen für die Verzeichnisstruktur Unterverzeichnisse für Projekte doc

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

Eine Möglichkeit: Latch als Speicherzelle

Eine Möglichkeit: Latch als Speicherzelle SRAM Eine Möglichkeit: Latch als Speicherzelle Man könnte ein Latch z.b. aus Gated Invertern benutzen Diese Zelle benötigt 4 Steuerleitungen (LD, RD und Inverse), einen Bus, 2 Versorgungen Viele Leitungen

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA?

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA? FPGA Übersicht der Aktuellen Bausteine Von Markus Stanczyk Inhaltsverzeichnis 1. Einführung 1.1 Was ist ein FGPA? 2. Aufbau eines FPGA 2.1 Logikblöcke 2.2 Verbindungsarchitektur 3. Marktüberblick 3.1 Allgemein

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten

Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten E. Zenker 9. November 2011 1 / 28 Gliederung 1. Field Programmable Gate Array - FPGA 2. Satisfiability Testing

Mehr

Wenn Sie das Register: Retrtrieve IP adress automatically (DHCP enable) aktivieren, wird die IP-Adresse automatisch eingetragen.

Wenn Sie das Register: Retrtrieve IP adress automatically (DHCP enable) aktivieren, wird die IP-Adresse automatisch eingetragen. ----------------------------------------------------------------------------------------------------------------- Einsatz der PoKeys57E fär die MDLCNC Schrittmotorsteuerung fär 3 Motoren (4,5A Endstufen!)

Mehr

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Prog. Counter Memory Adress Register Befehl holen Incrementer Main store Instruction register Op-code Address Memory Buffer Register CU Clock Control

Mehr

FPGA-Based Architecture for Pattern Recognition

FPGA-Based Architecture for Pattern Recognition Institut für Technik der Informationsverarbeitung FPGA-Based Architecture for Pattern Recognition Institut für Prozessdatenverarbeitung und Elektronik - IPE, KIT University of the State of Baden-Wuerttemberg

Mehr

Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt

Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt Rechenschaltungen 2 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/12 1 / 36 Gliederung Addierer (Fortsetzung) Multiplizierer Block RAM 2 / 36 Problem

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

USB Core. Dokumentation. Version 1.0

USB Core. Dokumentation. Version 1.0 USB Core Dokumentation Version 1.0 Usb Core Dokumentation Version 1.0 Seite 2 von 9 Inhalt Inhalt... 2 Änderungen... 2 Allgemeines... 3 LibUsb... 3 Kurze Beschreibung der Signale... 4 Detaillierte Beschreibung

Mehr

AVR Ein/Ausgabe. Inhaltsverzeichnis

AVR Ein/Ausgabe. Inhaltsverzeichnis Inhaltsverzeichnis AVR Ein/Ausgabe 1 Pinout Arduino Board...4 2 AVR PORT - I N P U T PINB...6 3 AVR PORT Architektur Bausteine...8 4 AVR Port O U T P U T PORTB...10 5 AVR PORT Architektur: Fragen 2...12

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 22. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Vorbesprechung drittes Labor

Mehr

Ein Vortrag von Kamal Laghmari im Fach: Technische Informatik

Ein Vortrag von Kamal Laghmari im Fach: Technische Informatik Vortrag über FPAA`s Ein Vortrag von im Fach: Technische Informatik Inhalt o Einführung in FPAA`s o Funktionsweise o Architektur o Switched Capacity (SC) o Entwicklungsmethoden o Anwendungsgebiete oausblick

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

M1000 Parallel Ein-/Ausgabe

M1000 Parallel Ein-/Ausgabe M1000 Parallel Ein-/Ausgabe Technische Beschreibung Eiserstraße 5 Telefon 05246/709-0 D-33415 Verl Telefax 05246/70980 Datum : 06.10.93 Version : 2.1 Seite 1 von 17 Inhaltsverzeichnis 1. Funktionsbeschreibung

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Arithmetische und Logische Einheit (ALU)

Arithmetische und Logische Einheit (ALU) Arithmetische und Logische Einheit (ALU) Enthält Blöcke für logische und arithmetische Operationen. n Bit Worte werden mit n hintereinander geschalteten 1 Bit ALUs bearbeitet. Steuerleitungen bestimmen

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1 9. SPEICHER UND CACHE (c) Peter Sturm, University of Trier 1 Inhalt Grundlagen Speichertypen RAM / ROM Dynamisches RAM Cache- Speicher Voll AssoziaNv n- Wege AssoziaNv Direct Mapping Beispiel: 8 Bit- Register

Mehr

Ein Debugger für ASIC-Prototypen

Ein Debugger für ASIC-Prototypen Jürgen Haufe 1, Matthias Gulbins 1, Peter Schwarz 1, Christoph Fritsch 2, Jens Große 3 1 für 2 Bosch Telecom 3 SharcWare 1 Gliederung Motivation für Hardware-Debugging Anforderungen und Methode Architekturvarianten

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

4. Die Spartan3-Familie

4. Die Spartan3-Familie 4. Die Spartan3-Familie 1 Programm für heute: Die Spartan3-Familie CLBs (configurable logic blocks), Slices, Logic Cells IO-Blöcke Taktung 2 Configurable Logic Block (CLB) Ein CLB besteht aus zwei identischen

Mehr

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Mentor User Conference 2004 - Würzburg Michael Pichler, m.pichler@zma.ch Dino Zardet, d.zardet@zma.ch Prof. Karl Schenk, k.schenk@zma.ch

Mehr

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788 CFG Steffen Ostendorff Zusebau, R2078, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 2. Januar 2012 Self-Organization 05 January 2012 1 Wiederholung CFG 2. Januar

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

ATmega169 Chip: Pin-Layout

ATmega169 Chip: Pin-Layout ATmega169 Chip: Pin-Layout Die logische Schnittstelle der Funktionseinheit MCU (Microcontroller Unit) entspricht der physikalischen Schnittstelle der Baueinheit (Chip). Für die Maschinenbefehle sind nur

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Embedded Linux für SoC Applikationen

Embedded Linux für SoC Applikationen Mitglied der Helmholtz-Gemeinschaft Embedded Linux für SoC Applikationen Beispielkonfiguration Virtex4 FX12 23. März 2009 Georg Schardt Embedded Linux für SoC Applikationen Modulaufbau Entwicklungsumgebung

Mehr

Entwicklung integrierter HW/SW-Systeme Integrierte Hard- und Softwaresysteme 2 Seminar

Entwicklung integrierter HW/SW-Systeme Integrierte Hard- und Softwaresysteme 2 Seminar Entwicklung integrierter HW/SW-Systeme Integrierte Hard- und Softwaresysteme 2 Seminar Einführung Jorge Meza jorge.meza@tu-ilmenau.de Zusebau R2082, Tel: -4128 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 13. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung Register Multiplexer Demultiplexer Halbleiterspeicher Statisches

Mehr

M1400 Parallel Ein-/Ausgabe

M1400 Parallel Ein-/Ausgabe M1400 Parallel Ein-/Ausgabe Technische Beschreibung Eiserstraße 5 Telefon 05246/709-0 33415 Verl Telefax 05246/70980 Datum : 30.09.93 Version : 2.0 Seite 1 von 16 Inhaltsverzeichnis 1. Funktionsbeschreibung

Mehr

Rechnerorganisation. 1. Juni 201 KC Posch

Rechnerorganisation. 1. Juni 201 KC Posch .6.2 Rechnerorganisation. Juni 2 KC Posch .6.2 2 .6.2 Front Side Bus Accelerated Graphics Port 28 MHz Front Side Bus North Bridge RAM idge South Bri IDE USB PCI Bus 3 .6.2 Front Side Bus Front Side Bus

Mehr

ANALYSE DER LATENZEN IM KOMMUNIKATIONSSTACK EINES PCIE-GEKOPPELTEN FPGA-BESCHLEUNIGERS. Sascha Kath

ANALYSE DER LATENZEN IM KOMMUNIKATIONSSTACK EINES PCIE-GEKOPPELTEN FPGA-BESCHLEUNIGERS. Sascha Kath ANALYSE DER LATENZEN IM KOMMUNIKATIONSSTACK EINES PCIE-GEKOPPELTEN FPGA-BESCHLEUNIGERS Sascha Kath Dresden, Gliederung 1. Motivation & Zielstellung 2. Systembeschreibung 3. Implementierung und Messungen

Mehr

Kapitel 18. Externe Komponenten

Kapitel 18. Externe Komponenten Kapitel 18 Externe Komponenten 31.05.11 K.Kraft E:\MCT_Vorlesung\MCT2011\Externe_31\Externe.odt 18-1 Anschluss von externen Komponenten Einfachste Art : Direkt an einem Port Beispiel Ausgabe : 7-Strich

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

8. Aufgabenblatt mit Lösungsvorschlag

8. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 8. Aufgabenblatt mit Lösungsvorschlag 09.06.2010 Aufgabe 1: Realisierung des Modellrechners WKP Der in der Vorlesung vorgestellt

Mehr

XILINX ISE WEBPACK und DIGILENT BASYS2

XILINX ISE WEBPACK und DIGILENT BASYS2 XILINX ISE WEBPACK und DIGILENT BASYS2 Eine kurze Einführung. Download ISE Projektdateien auch links im Menü (ZIP) Abteilung Elektronik an der HTL Mödling 2011/2015 Grundbegriffe Xilinx ist eine Firma

Mehr

6. Die Spartan-Familie

6. Die Spartan-Familie 6. Die Spartan-Familie 1 Programm für heute: Die Spartan-Familie Aufbau, Größe und Ausführung SRAM und DRAM Block RAM LCs, CLBs und Slices IO-Blöcke Routing Matrix 2 Der Spartan-3-Chip Globaler Aufbau

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Statischer Speicher - Schaltsymbol

Statischer Speicher - Schaltsymbol 12.3 SRAM Bernd Becker Technische Informatik II Statischer Speicher - Schaltsymbol SRAM A n D out W D in BB TI II 12.3/2 1 Ein N-Bit SRAM Sei n N, N = 2 n Ein N-Bit statischer Speicher oder SRAM (static

Mehr

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel Prozessorarchitektur Kapitel - Wiederholung M. Schölzel Wiederholung Kombinatorische Logik: Ausgaben hängen funktional von den Eingaben ab. x x 2 x 3 z z = f (x,,x n ) z 2 z m = f m (x,,x n ) Sequentielle

Mehr

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Hardware PCI-Bus Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Hardware PCI-Bus 1/23 2008-08-06 Übersicht Inhalt:

Mehr

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Hardware PCI-Bus Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 Hardware PCI-Bus 1/23 2007-10-26 Übersicht Inhalt:

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

EEPROM Lesen/Schreiben über SPI-Bus

EEPROM Lesen/Schreiben über SPI-Bus EEPROM Lesen/Schreiben über SPI-Bus Experiment EEPROMtest 6 A.Schultze / DK4AQ 15.06.2013 Was ist ein EEPROM? EEPROM = Electrical Erasable Programmable Read Only Memory Ein EEPROM kann elektrisch geschrieben

Mehr

Digitaltechnik. 6 Speicherelemente. Revision 1.4

Digitaltechnik. 6 Speicherelemente. Revision 1.4 Digitaltechnik 6 Speicherelemente A Revision 1.4 Übersicht Adressen Read-Only Memory ROM Random Access Memory RAM Datenbusse Caches Speicher Memory ROM: read-only memory RAM: random-access memory (besser

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Beschaltung eines Mikrocontrollers. Jordi Blanch Sierra Steuerungsgruppe

Beschaltung eines Mikrocontrollers. Jordi Blanch Sierra Steuerungsgruppe Beschaltung eines Mikrocontrollers Jordi Blanch Sierra Steuerungsgruppe Gliederung Was ist ein Mikrocontroller? ATmega32 Pin-Beschreibung Grundschaltungen: - Minimale Grundschaltung - Grundschaltung mit

Mehr

Analog-Digital-Converter

Analog-Digital-Converter Analog-Digital-Converter Funktionsprinzip ADC bei ATmega128 Beispiel in C Funktionsprinzip 1 Analog-Digital-Wandlung Wandelt analoge Spannung / analogen Strom (Messgröße) in einen binären Wert um, der

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

Technologie Überblick Flash & Antifuse vs. SRAM. André Ehlert

Technologie Überblick Flash & Antifuse vs. SRAM. André Ehlert Technologie Überblick Flash & Antifuse vs. SRAM André Ehlert Agenda > Abgrenzungsmerkmale Firm Error Kopierschutz Leistungsaufnahme Systemkosten Zusammenfassung FPGA Allgemeiner Aufbau und Funktion FPGA

Mehr

LED board EB

LED board EB LED board EB004-00-2 Inhalt 1. Über dieses Dokument...2 2. Allgemeine Information...3 3. Board-Layout...4 4. Schaltungsbeschreibung...5 Anhang 1 Schaltplan Copyright Matrix Multimedia Limited 2005 seite

Mehr

Reduzierung der Zykluszeiten von Pincheck- und Hochspannungsprüfungen für Automotive-Steckverbinder unter Verwendung modularer NI-FPGA-Technologie

Reduzierung der Zykluszeiten von Pincheck- und Hochspannungsprüfungen für Automotive-Steckverbinder unter Verwendung modularer NI-FPGA-Technologie Reduzierung der Zykluszeiten von Pincheck- und Hochspannungsprüfungen für Automotive-Steckverbinder unter Verwendung modularer NI-FPGA-Technologie Herbert Pichlik Tobias Postler Matthias Thüringer hpichlik@papp-gruppe.de

Mehr

Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten

Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten E. Zenker 24. Februar 2011 1 / 20 Gliederung 1. Satisfiability Testing 2. FPGAs 3. Aktuelle Hardware SAT Solver

Mehr

MEphisto Scope 1 A D. Prozessor-Steuerung. Interner Daten-/Steuer-Bus. Digital I/O-Teil

MEphisto Scope 1 A D. Prozessor-Steuerung. Interner Daten-/Steuer-Bus. Digital I/O-Teil Funktionsschaltbild MEphisto Scope 1 Kanal A A D * Werte- Speicher Kanal B A D (256 ks) Prozessor-Steuerung 26polige Sub-D Buchse Ext. Trigger 24 bits Digital I/O-Teil Interner Daten-/Steuer-Bus MEphisto

Mehr

4K HDMI Splitter - HDMI Splitter 1 In 2 Out - 2 Port - 4K 60Hz

4K HDMI Splitter - HDMI Splitter 1 In 2 Out - 2 Port - 4K 60Hz 4K HDMI Splitter - HDMI Splitter 1 In 2 Out - 2 Port - 4K 60Hz Product ID: ST122HD20 Mit diesem 4K-HDMI-Splitter schließen Sie Ihre HDMI-Videoquelle an zwei HDMI-Displays an, mit Unterstützung für Ultra

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017. NE555 IC Timer

Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017. NE555 IC Timer Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017 NE555 IC Timer Gliederung Einführende Anwendung des NE555 Innere Verschaltung Wdh. Komparator Wdh. RS-Latch Erklärung seiner Funktion

Mehr

Rechnerarchitektur Zusammengetragen vom Marc Landolt

Rechnerarchitektur Zusammengetragen vom Marc Landolt Rechnerarchitektur Zusammengetragen vom Marc Landolt http://ml.buzzernet.com 1/14 1 Die verschiedenen Betrachtungsebenen Rechnerebene Hauptblockebene Registertransferebene Schaltwerkebene Ebene elektrischer

Mehr

Seminar REKONFIGURIERBARE HARDWARE- STRUKTUREN. Alexander Giese Universität Mannheim Lehrstuhl für Rechnerarchitektur 23.

Seminar REKONFIGURIERBARE HARDWARE- STRUKTUREN. Alexander Giese Universität Mannheim Lehrstuhl für Rechnerarchitektur 23. Seminar REKONFIGURIERBARE HARDWARE- STRUKTUREN Alexander Giese Universität Mannheim Lehrstuhl für Rechnerarchitektur 23. November 2004 1 Gliederung 1. ASIC/FPGA 1.1 ASIC, Vor/Nachteile 1.2 FPGA, Vor/Nachteile

Mehr

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse Kapitel 11 Rechnerarchitektur 11.1 Der von-neumann-rechner Wir haben uns bisher mehr auf die logischen Bausteine konzentriert. Wir geben jetzt ein Rechnermodell an, das der physikalischen Wirklichkeit

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 3 - Diskrete Transistoren Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Organisatorisches Wie funktioniert ein MOSFET? Was

Mehr

Kompaktes IP20 Multiprotokoll Ethernet I/O Modul 16 universelle digitale Kanäle FEN20-16DXP

Kompaktes IP20 Multiprotokoll Ethernet I/O Modul 16 universelle digitale Kanäle FEN20-16DXP FLC/ARGEE programmierbar EtherNet/IP -Slave Modbus TCP-Slave PROFINET-Slave 2 RJ45-Ports für den Ethernet-Anschluss Integrierter Ethernet-Switch 10/100 Mbit/s, DI / DO 24 VDC, plusschaltend Ausgangsstrom:

Mehr