Digitale Elektronik 2 Hirarchisches Design und Programmierbare Logik. Dr. T.J.H. Kluter A. Habegger

Größe: px
Ab Seite anzeigen:

Download "Digitale Elektronik 2 Hirarchisches Design und Programmierbare Logik. Dr. T.J.H. Kluter A. Habegger"

Transkript

1 Digitale Elektronik 2 Hirarchisches Design und Programmierbare Logik Dr. T.J.H. Kluter A. Habegger March 7, 22

2

3 Contents Hierarchisches Design. DerDivideandConquerAnsatz Kommunikation ZusammenfassendeÜbungsaufgabe Programmierbare Logik 5 2. ProgrammierbaresLogikArray GateArrayLogic ProgrammableLogicDevice ComplexProgrammableLogicDevice FieldProgrammableGateArray

4

5 Hierarchisches Design Dieses Kapitel beschreibt die Methodik ein Problem in kleinere Teilprobleme zu unterteilen bis man zu einen Abstraktionslevel gelangt, auf welchem die Teilprobleme verständlich und einfach lösbar sind. Diese Methodik wir pragmatisch divide and conquer(teilen und herrschen) Methode genannt. Sie umschreibt ein reduktionistischen Lösungsansatz, welcher nicht nur beim Entwickeln moderner digitaler Schaltungen(HW-Design) verwendet werden kann sondern auch für andere Problemstellungen in den angewandten Ingenieurdisziplinen.. Der Divide and Conquer Ansatz Bei einem teile und herrsche -Ansatz wird das eigentliche grosse Problem solange in kleinere und viel einfachere Teilprobleme zerlegt, bis man diese problemlos lösen, daher beherrschen, kann (siehe Abbildung.). Das initiale Problem kann auch als Puzzle und die Teilprobleme, welche wir lösen können, als die einzelne Puzzle Teile bezeichnet werden. Die erste Phase des teile und herrsche -Ansatzes ist ein klassisches Top Down Design. Nach der Identifizierung aller Puzzle Teile folgt eine zweite Phase, in welcher die einzelnen Teile zusammen gefügt werden, um die Aufgabestellung schlussendlich zu lösen (Buttom Up). Unglücklicherweise müssen die einzelnen Puzzle Teile miteinander Kommunizieren. Die Kommunikation muss während der ersten Phase des teile und herrsche -Ansatzes identifiziert und festgelegt werden..2 Kommunikation Die Kommunikation kann in zwei Hauptgruppen unterteilt werden: Die erste Gruppe repräsentiert Datenoperationen. Diese Daten können durch e.g. Ganzzahlwerte repräsentiert werden und die dazugehörigen Oparande sind zum Beispiel Additions-, Multiplikations-Einheiten usw. Eine solche Kommunikationseinheit wird oft auch als Daten-Pfad bezeichnet.

6 Hierarchisches Design Big Problem Root P P2 P3 Phase : Phase 2: Top Down Bottom Up L L2 L3 L4 L5 Leaves Figure.: Der teile und herrsche -Ansatz: Die Aufgabestellung (Puzzle) wir in einer ersten Phase (Top Down) in kleinere Teile unterteilt, welche einfach, verständlich und lösbar sind (Puzzle Teile). In einer zweiten Phase (Bottom Up) werden alle Teile zusammen gefügt, um das initiale grosse Problem zu lösen. Die zweite Gruppe repräsentiert Kontrolloperationen. Diese Gruppe definiert, ob zum Beispiel eine Leuchte eingeschaltet wird, eine gewisse Datenoperation ausgeführt werden muss usw. Die zweite Gruppe wird oft als Kontroll-Pfad bezeichnet. In diesem Kurs wird die zweite Gruppe der Kontroll-Pfad vorwiegend behandelt. Der Kontroll-Pfad kann in zwei Kommunikationsablauf-Modelle unterteilt werden:. Master-Slave. Bei der Master-Slave-Kommunikation wird durch den Master eingeleitet, ob und wann der Slave eine bestimmte Aktion ausführen muss. Man kann auch sagen, dass der Slave durch den Master angestossen (gekickt) wird. Die einfachste Master-Slave-Kommunikation wird durch ein enable Signal umgesetzt. Das enable Signal ist aktiv wenn der Slave eine bestimmte Aufgabe zu erledigen hat und inaktiv wenn er warten muss. 2. Master-Master, Slave-Slave, or Slave-Master. Bei diesen Kommunikationsarten fragt der Eine Kommunikationspartner den Anderen, ob es in Ordnung sei, wenn er eine bestimmte Aktion ausführe. Dieser Anfrageprozess wird durch ein request Signal eingeleitet. Nach erfolgter Anfrage wird diese durch den anderen Partner entweder angenommen durch aktivieren des acknowledge Signals oder abgelehnt durchs aktivieren des nack Signals. Diese Mehrsignal-Kommunikation wird auch oft als handshaking bezeichnet. 2

7 Zusammenfassende Übungsaufgabe In praxisnahen Designs ist die Kommunikation meist um ein vielfaches komplexer und in sogenannten Protokollen spezifiziert. Ein Protokoll ist nichts anderes als eine standardisierte Formulierung, wie der Kommunikationsprozess abzulaufen hat und wie sich welcher Partner in welchem Fall verhalten muss..3 Zusammenfassende Übungsaufgabe Um die gelernte Methode ( teilen und herrschen ) zusammen mit der Master- Slave Kommunikation zu festigen, soll ein Blinklicht entwickelt werden. Das Blinklicht hat eine Referenzfrequenz von 5 Hz. Die Blinkfrequenz soll jedoch nurhz seinmit denein-undaus-phasenvonje.5sekunden. 3

8

9 2 Programmierbare Logik Programmierbare Logik ist eine Unterklasse der ASICs, welche es erlaubt Logik anhand von Funktionen zu beschreiben ohne einzelne Transistoren zu spezifizieren und zu implementieren. Einfach gesagt, abstrahiert die programmierbare Logik das digitale Schaltungsdesign auf das Level der funktionalen Beschreibung. Man kann dies Abstraktion mit der Verwendung von Assembly anstelle der Maschinensprache bei der Programmierung eines Mikrocontrollers vergleichen. 2. Programmierbares Logik Array Das erste Element in dieser Gruppe ist wohl die Programmable Array Logic (PAL). Die Architektur eines PAL basiert auf der Tatsache, dass jede logische Funktion durch die Form Sum of Product repräsentiert werden kann, e.g., Y = A B+A B. Daher beinhaltet die PAL Architektur ein Array von AND-Operatoren gefolgt von einem Array aus OR-Operatoren. Um nun jede erdenkliche logische Funktion zu realisieren, werden die Eingänge und jeweils ihr Invers durch Sicherungen (trennbare Verbindungen) mit einem AND-Operator verbunden. Der Aufbau der PAL kann anhand der Grafik 2. studiert werden. Um eine logische Funktion in ein PAL zu programmieren, müssen unerwünschte und daher überflüssige Verbindungen getrennt werden. Das Trennen wird durch anlegen einer zu hohen Spannung 2V-2V an unerwünschten Verbindungsstellen im Sicherungs -Netzwerk realisiert, worauf die Verbindung durchschmilzt und dauerhaft getrennt wird. Weil dieser Prozess irreversible ist, nennt man ein PAL auch ein One-Time-Programmable(OTP) Baustein. Ein Beispiel eines programmierten PALs ist in der Grafik 2.2 dargestellt. Eine spezielle Situation für ein PAL ist anhand der Grafik 2. aufgezeigt. Wir nehmen an, dass wir die XOR Funktion implementieren möchten: Y = A B + A B. Diese Funktion verwendet nur zwei AND-Operationen, jedoch beinhalten die Makro-Zellen in Grafik 2. vier AND-Operationen. Man könnte nun sagen, dass man diese Funktion somit nicht umsetzen könnte. Damit dies trotz dieser Tatsache möglich ist muss unsere Funktion wie folgt angepasst werden: 5

10 Programmierbare Logik A B C D Programmable fuses AND Array OR Array To other macro cells Macro cell Inputs X Y Output Output Figure 2.: Aufbau der PAL Zelle. Jeder Ausgang wird durch eine Makro-Zelle generiert. Eine Makro-Zelle besteht aus einem Sicherungs -Netzwerk, AND-Operanden und OR- Operanden. 6

11 Programmierbares Logik Array A B C D To other macro cells Inputs X Y Output Output Figure 2.2: Logik Funktionen werden durch den irreversiblen Prozess durchschmelzen unerwünschter Verbindungen in einem PAL dauerhaft gespeichert. Frage: Welche logische Funktion ist in diesem programmierten PAL enthalten? 7

12 Programmierbare Logik Y = A B+A B+A A+A A = A B+A B++. Der grosse Vorteil eins PALs ist die Tatsache, dass die Verzögerung irgend eines Eingangs(A, B, C, D,...) zu irgend einem Ausgang (X, Y,...) fix ist und durcht io charakterisiertwird. Die Vor- und Nachteile des PALs sind in der Tabelle 2. zusammengefasst dargestellt. Vorteil Nachteil Sparsam puncto Energieverbrauch One-Time-Programmable(OTP) FixeIn-nach-OutVerzögerung(t io ) NurkombinatorischeLogikmöglich Alle kombinierbaren Logikfunktionen Anzahl der Makro-Zellen ist limitiert Nicht-Flüchtig Table 2.: Vor- und Nachteile der PAL Architektur. 2.2 Gate Array Logic Um den grössten Nachteil des PALs, die One-Time-Programmability(OTP), zu eliminieren hat Lattice Semiconductors die Gate Array Logic(GAL)-Architektur entwickelt. Der grösste Unterschied zischen GAL und PAL besteht in der Art und Weise, wie das Sicherungs-Netzwerk realisiert wurde(siehe Grafik 2.). Bei der GAL Architektur sind die Sicherungen durch Floating Gate Transistors(FG- MOS), siehe Grafik 2.3, ersetzt worden. Die FGMOS Zelle, dargestellt in der obersten Zeichnung der Grafik 2.4, ist ein Speicherelement, welches die Ladung auf seinem isolierten Floating-Gate Floating Gate Transistor Figure 2.3: Bei den GALs sind die vorher eingeführten Sicherungen durch Floating Gate Transistors ersetzt worden und machen dadurch GALs In-System-Programmable (ISP). 8

13 Gate Array Logic speichert. Die Ladung auf dem Floating-Gate verbleibt für etwa -2 Jahre. Die Funktionsweise eines FGMOS kann anhand der Prozesstrennung in Programmierund Betriebs-Modus erklärt werden. Im Programmiermodus werden das Kontroll-Gate und das Bulk verwendet, umdasfloating-gatezuladenoderentladen. DiesistuntenLinksinGrafik2.4 dargestellt. Im Betriebsmodus belässt man das Kontroll-Gate in unverbundenem (floatendem) Zustand, wobei der Ladungszustand auf dem floating-gate den Funktionsmodus des FGMOS festlegt. Wenn das Floating-Gate geladen ist, wird ein N-Kanal zwischen Source und Drain in der FGMOS Zelle gebildet, wodurch der Strom zwischen Drain und Source fliessen kann. Man kann auch sagen, dass das FGMOS als Kurzschluss in der Source-Drain Strecke agiert. Wenn nun das Floating-Gate ungeladen ist, wird die Source vom Drain isoliert. In diesem Betriebsmodus agiert die FGMOS Zelle als ein Unterbruch in der Source-Drain Strecke. Beide Modi sind rechts unten in der Grafik 2.4 dargestellt. Aufgrund physikalischer Effekte können FGMOS Zellen nur rund mal programmiert und/oder gelöscht werden. Nach dem erreichen der maximalen Programmier- und Löschzyklen kann ein Kurzschluss zwischen dem Floating- Gate und dem Gate/Bulk entstehen. Weil man FGMOS Zellen elektrisch programmieren und löschen kann, hat man zusätzlich die Möglichkeit System nachträglich (im Betrieb) zu verändern. Dies nennt man auch die Fähigkeit der In-System-Programmability(ISP). Für den ISP Betrieb werden GAL Bausteine mit einer sogenannten ISP-Logik erweitert. Ein rundum Überblick ist anhand der Grafik 2.5 gegeben. Die Vor- und Nachteile von GALs sind in der Tabelle 2.2 zusammengefasst dargestellt. Vorteile Nachteile Geringer Energieverbrauch Informationsbestehen zirka -2 J FixeIn-nach-OutVerzögerung(t io ) NurkombinatorischeLogik Jegliche kombinatorischen Funktionen Limitierte Anzahl von Makrozellen Nicht-flüchtig Nur etwa Programmierzyklen In-System-Programmable(ISP) Table 2.2: Überblick zu den Vor- und Nachteilen der GAL. 9

14 Programmierbare Logik Source Control Gate Drain Isolation n+ p bulk n+ Bulk Floating Gate + Programming: Electrons are tunneled from floating gate Normal Operation: The charged floating gate creates a n channel between Source and Drain hence a "short circuit" 2V n+ p bulk n+ SET: n+ p bulk n+ Electrons are tunneled toward floating gate There is no charge on any of the gates; therefore, there is an isolation between Source and Drain + 2V n+ p bulk n+ ERASE: n+ p bulk n+ Figure 2.4: Vereinfachter Programmier- und Löschvorgang von Floating Gate Transistoren. 2.3 Programmable Logic Device Der gemeinsame Hauptnachteil der GAL und PAL Strukturen ist die Eigenschaft, dass nur Funktionen aus kombinatorischer Logik implementiert werden können. Um Beispielsweise einen endlichen Zustandsautomaten zu implementieren, müssen noch externe Komponenten einbezogen werden, damit man die sequenzielle Logikfunktion realisieren kann. Dies führte zum Verlangen und daher zur Entwicklung der Programmable Logic Devices (PLDs). Bei den PLDs sind die Makrozellen durch ein Speicherelement erweitert einem D-Type FlipFlop

15 Programmable Logic Device A B C D In System Programming Logic To other macro cells Inputs X Y Output Output Figure 2.5: Das GAL bietet die gleichen Möglichkeiten wie ein PAL, ausser dass es noch flexibler in der Verwendung ist, was durch das Feature der In-System-Programmability (ISP) erzielt wird.

16 Programmierbare Logik (D-FF). Um beides, kombinatorische und sequenzielle Logik implementieren zu können kann der Ausgang der Makrozellen entweder mit dem Ausgang des OR- Arrays oder demjenigen des D-FF verbunden werden. Dies bedeutet, dass zwei FGMOS Zellen dazwischen geschaltet werden müssen. Damit schlussendlich der angestrebte Zustandsautomat in einem PLD realisiert werden kann, muss der D- FF Ausgang zusätzlich zum Eingang AND-Array zurückgekoppelt werden. Die PLD Architektur ist in der Grafik 2.6 dargestellt. A B In System Programming Logic To other macro cells Inputs X Y D Q Output D Q Output C Figure 2.6: Die PLD Architektur fügt Speicher in Form eines D-FlipFolp zur Makrozelle hinzu. Dies ermöglicht nebst der Implementierung von kombinatorischen auch sequenzielle Logikfunktionen, was für Zustandsautomaten unabdingbar ist. Die Vor- und Nachteile von PLDs sind in der Tabelle 2.3 zusammenfassend dargestellt. 2

17 Complex Programmable Logic Device Vorteile Nachteile Geringer Energieverbrauch Information bleibt zirka -2 J FixeIn-nach-OutVerzögerung(t io ) LimitierteAnzahlvonMakrozellen Alle logischen Funktionen möglich Nur Programmierzyklen Nicht-flüchtig In-System-Programmable(ISP) Table 2.3: Auflistung der Vor- und Nachteile der PLD Architektur. 2.4 Complex Programmable Logic Device Da die Komplexität digitaler Schaltungen stetig wächst, waren die kleine PLDs nicht mehr ausreichend. Dies führte dazu, dass ein weiter Typ eine Abwandlung des PLDs das Complex Programmable Logic Device (CPLD) entwickelt wurde. Die CPLD Architektur unterscheidet sich von der PLD Struktur in einem entscheidenden Punkt. Die Ein- und Ausgänge werden von den Makrozellen entkoppelt. (Beachte: Bei allen vorangegangenen Architekturen, waren die IC- Ausgänge direkt mit den Ausgängen der Makrozellen verbunden. In analoger Weise wurde mit den Eingängen der Makrozellen zu den IC-Eingänge verfahren.) Das CPLD bewerkstelligt das Verbinden mit einem Schaltnetzwerk (routing array). Das Schaltnetzwerk verfügt über eine sogenannte cross-bar, welche es ermöglicht jede erdenkliche Verbindung zwischen den Eingängen und Ausgängen zu realisieren. Diese Verbindungen werden durch FGMOS Zellen, wie in der Grafik 2.7 gezeigt wird, ermöglicht. Die Grafik 2.8 visualisiert eine vereinfachtes Blockdiagramm eines CPLDs und in Tabelle 2.4 werden dessen Vor- und Nachteile zusammengefasst. Vorteile Geringer Energieverbrauch FixeIn-nach-OutVerzögerung(t io ) Alle logischen Funktionen sind unterstützt Nicht-flüchtig In-System-Programmable(ISP) Nachteile Daten bleiben für -2 J Nur Programmierzyklen Table 2.4: Zusammenfassung der Vor- und Nachteile der CPLD Architektur. 3

18 Programmierbare Logik Field Programmable Gate Array Die Skalierbarkeit von CPLDs ist durch ihr Schaltnetzwerk und der Verzögerung der Verbindungen(read delay) limitiert. Zudem kommt hinzu, dass für gewisse Anwendungen, die maximale Anzahl von Wiederbeschreibzyklen der CPLDs nicht ausreichend sind. Dies war die Motivation eine flüchtige -Architektur, die sogenannte Field Programmable Gate Array(FPGA) Architektur, zu entwickeln. Der Aufbau von FPGAs(siehe Grafik 2.9) hat grosse Ähnlichkeit mit derjenigen des CPLDs. Ein offensichtlicher und grosser Unterschied liegt bei den Schaltnetzen, welche bei den FPGAs viel kleiner sind. Zudem wurden die Makrozellen der CPLDs bei der FPGA Architektur durch Look Up Tables(s) ersetzt. Das Aufteilen der Schaltnetze führt zum grossen Vorteil der viel besseren Skalierbarkeit dieser Architektur. Tatsächlich kann die Kombination von Schaltnetzwerk und gleichmässig in die X- und Y-Richtung fortgesetzt werden. Dies führt uns zum Nachteil der FPGA Architektur, welche dadurch die Eigenschaft einer Vorhersehbaren In-nach-Out Verzögerung verliert. Die Verzögerung zwischen Eingang und Ausgang ist abhängig von () der Anzahl s, welche für eine logische Operation verwendet wurden und (2) der Anzahl verwendeter Schaltnetzwerke zum Verbinden der einzelnen s. Die Grafik 2. visualisiert die Implementation der XOR Funktion in einem FPGA. Wie bereits vorher erwähnt, wird das Verschalten bei einem FPGA durch Schaltnetze realisiert. Diese Schaltnetze sind sehr ähnlich zu denjenigen, welche in den CPLDs verwendetwerden. ImGegensatzzudenCPLDswirdbeidenFPGAskeineFG- MOS Zelle verwendet, um die Verbindung zu realisieren. Es werden an dessen Stelle pass-gates, dargestellt in Grafik 2., eingesetzt. Beim CPLD wird die Information über eine Verbindung in Form von Ladung auf dem Floating-Gate der FGMOS Zelle gespeichert. Im Vergleich das FPGA, welches ein flüchtiges Speicherelement ein D-FF zur Speicherung dieser Information verwendet (siehe links in Grafik 2.). Eine Speicherzelle, welche die Information enthält repräsentiert eine Verbindung und ein Wert von bedeutet konsequenterweise ein Unterbruch. Das FPGA hat zwei Modi() den Programmiermodus bei welchem die Funktionalität jeder Zelle abgebildet ist links in Grafik 2. dargestellt und(2) den Betriebsmodus in welchem die programmierte Funktion in der FPGA Strukturausgeführtwird ingrafik2.undaufderrechenseiteingrafik2. dargestellt. Ähnlich zum Schaltnetzwerk weist auch das diesen Programmier- und Betriebsmodus auf, siehe dazu Grafik 2.2. Die Grafik 2.2 zeigt zudem noch

19 Field Programmable Gate Array die Hauptunterschiede zwischen der (FPGA) und der Makrozelle (CPLD). Die Makrozelle basiert auf einer Hardwareimplementation der Sum-Of-Product Form. Die basiert auf einer Hardwareimplementation einer Wahrheitstabelle. Jeder Eintrag in der Wahreitstabelle gehört zu einem Speicherelement im Konfigurationsspeicher, wie in der Grafik 2.3 gezeigt wird. Unter Verwendung des Multiplexers (6-Eingänge) wird ein Eintrag in der Wahrheitstabelle selektiert und auf den Ausgang gelegt. Zudem beinhaltet das auch D-FFs, um eine mögliche Implementation von sequenziellen Funktionen zu realisieren. Man beachte, dass die D-FFs in den s für logische Funktionen verwendet werden können, im Gegensatz zu jenen bei der Konfigurierlogik, die nur zum Speichern der Funktionalität eingesetzt werden. Wen man den Programmiermodus des FPGAs genauer untersucht erkennt man, dass er am Besten als einziges grosses Schiftregister umschrieben werden kann. Das Schiftregister muss mit der zu konfigurierenden Information geladen werden (man könnte diesen Referenzdatensatz als bit-file bezeichnen), da das FPGA bei einem power off die Informationen wieder verliert. Dieses laden der Konfigurationen benötigt eine gewisse Zeit T conf. Während der Konfiguration ist ein aktiver Betriebsmodus ausgeschlossen. Nach der Konfigurierung ist das FPGA bereit logische Funktionen auszuführen. Zusammenfassend werden die Vor-und Nachteile der FPGA Technologie in der Tabelle 2.5 visualisiert. Vorteile Nachteile WährenddemBetrieb re-programmierbar Start-upZeit grössernull T conf Alle logischen Funktionen sind unterstützt Verbraucht deutlich mehr Energie Skalierbare Architektur Table 2.5: Eine Auflistung der Vor- und Nachteile von FPGAs 5

20 Programmierbare Logik Inputs Outputs Figure 2.7: Vereinfachte Darstellung eines Schaltnetzwerks mit 4-Eingängen und 8- Ausgängen. Die FGMOS werden verwendet um einen beliebigen Eingang mit einem beliebigen Ausgang zu verbinden. Die Anzahl verwendeter FGMOS Zellen ist das Produkt aus Anzahl Eingängen mit Anzahl der Ausgänge. 6

21 Field Programmable Gate Array Macro Cell In System Programming Logic Macro Cell Macro Cell Macro Cell Macro Cell Macro Cell D Q Macro Cell Macro Cell Macro Cell Macro Cell Macro Cell Macro Cell Figure 2.8: Vereinfachtes Blockschaltbild eines CPLDs. Jede Makrozelle beinhaltet die Logik, welche auf der linken Seite dargestellt ist. Alle Verbindungen werden im programmierbaren Schaltnetzwerk realisiert (siehe Grafik 2.7). 7

22 Programmierbare Logik Field Programmable Gate Array (FPGA) Figure 2.9: Vereinfachtes Blockschaltbild eines FPGAs. Das FPGA basiert auf der Kombination von Schaltnetzwerk und, dieses Strukturelement wird mehrfach repetiert und führt zur Struktur des FPGAs. 8

23 Field Programmable Gate Array Field Programmable Gate Array (FPGA) Figure 2.: Eine XOR Funktion implementiert im FPGA. Die Eingang-nach-Ausgang Verzögerung hängt von() der Anzahl verwendeter s und(2) der Anzahl eingesetzter Schaltnetzwerke ab. 9

24 Programmierbare Logik Configuration Logic (volatile) (cross bar) TDI D Q D Q D Q D Q TCK A TDO V D Q D Q D Q D Q B W D Q D Q D Q D Q C X D Q D Q D Q D Q D Y Figure 2.: Vereinfachte Blockschaltbild des Schaltnetzwerks. Das Schaltnetzwerk hat zwei Modi () Programmiermodus (links) und(2) Betriebsmodus(rechts). 2

25 Field Programmable Gate Array Configuration Logic (volatile) Look Up Table () TDI D Q D Q D Q D Q TCK TDO D Q D Q D Q D Q D Q Y X D Q D Q D Q D Q D Q D Q D Q D Q A B C D clock Figure 2.2: Vereinfachtes Blockdiagramm eines. Das hat zwei Modi, diese sind() der Programmier-Modus (links dargestellt) welcher priorisiert ist und (2) der Betriebsmodus (rechts dargestellt), welcher im Betrieb der Schaltung verwendet wird. Configuration Logic (volatile) Look Up Table () A B C D Y TDI TCK TDO D Q D Q D Q D Q D Q D Q D Q D Q D Q D Q D Q D Q D Q D Q D Q D Q A B C D Q Y X D clock Figure 2.3: Im wird die Wahrheitstabelle verwendet um Logikfunktionen zu mappen. Jeder Eintrag in der Wahrheitstabelle gehört zu einem Bit in der Konfigurierlogik. Frage: Wie kann eine logische Funktion mit fünf Eingängen realisiertwerden? 2

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Suche schlecht beschriftete Bilder mit Eigenen Abfragen

Suche schlecht beschriftete Bilder mit Eigenen Abfragen Suche schlecht beschriftete Bilder mit Eigenen Abfragen Ist die Bilderdatenbank über einen längeren Zeitraum in Benutzung, so steigt die Wahrscheinlichkeit für schlecht beschriftete Bilder 1. Insbesondere

Mehr

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005 trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005 2 Inhalt 1. Anleitung zum Einbinden eines über RS232 zu steuernden Devices...3 1.2 Konfiguration

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

4. Jeder Knoten hat höchstens zwei Kinder, ein linkes und ein rechtes.

4. Jeder Knoten hat höchstens zwei Kinder, ein linkes und ein rechtes. Binäre Bäume Definition: Ein binärer Baum T besteht aus einer Menge von Knoten, die durch eine Vater-Kind-Beziehung wie folgt strukturiert ist: 1. Es gibt genau einen hervorgehobenen Knoten r T, die Wurzel

Mehr

Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers

Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers Ist Excel das richtige Tool für FMEA? Einleitung Wenn in einem Unternehmen FMEA eingeführt wird, fangen die meisten sofort damit an,

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Grundlagen der Theoretischen Informatik, SoSe 2008

Grundlagen der Theoretischen Informatik, SoSe 2008 1. Aufgabenblatt zur Vorlesung Grundlagen der Theoretischen Informatik, SoSe 2008 (Dr. Frank Hoffmann) Lösung von Manuel Jain und Benjamin Bortfeldt Aufgabe 2 Zustandsdiagramme (6 Punkte, wird korrigiert)

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Von Bits, Bytes und Raid

Von Bits, Bytes und Raid Von Bits, Bytes und Raid Eine Schnuppervorlesung zum Kennenlernen eines Datenspeichers um Bits und Bytes zu unterscheiden um Raid-Festplattensysteme zu verstehen Inhalt Speicherzellen sind elektronische

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Regelwerk der "Electronical Infrastructure for Political Work"

Regelwerk der Electronical Infrastructure for Political Work Regelwerk der "Electronical Infrastructure for Political Work" Stand 01.06.11 Inhaltsverzeichnis 1.Inhalt...2 2.Codex...2 3.Arbeiten mit dem EIPW...2 3.1.Dokumente...2 3.2.Gestaltung der Arbeit...2 3.2.1.Einfachheit

Mehr

Stapelverarbeitung Teil 1

Stapelverarbeitung Teil 1 Stapelverarbeitung Teil 1 In jedem Unternehmen gibt es von Zeit zu Zeit Änderungen in Normen und Firmenstandards, an die aktuelle und bereits bestehende Zeichnungen angepasst werden müssen. Auch Fehler

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

icloud nicht neu, aber doch irgendwie anders

icloud nicht neu, aber doch irgendwie anders Kapitel 6 In diesem Kapitel zeigen wir Ihnen, welche Dienste die icloud beim Abgleich von Dateien und Informationen anbietet. Sie lernen icloud Drive kennen, den Fotostream, den icloud-schlüsselbund und

Mehr

Fachbericht zum Thema: Anforderungen an ein Datenbanksystem

Fachbericht zum Thema: Anforderungen an ein Datenbanksystem Fachbericht zum Thema: Anforderungen an ein Datenbanksystem von André Franken 1 Inhaltsverzeichnis 1 Inhaltsverzeichnis 1 2 Einführung 2 2.1 Gründe für den Einsatz von DB-Systemen 2 2.2 Definition: Datenbank

Mehr

schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG mitp/bhv

schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG mitp/bhv Roboter programmieren mit NXC für Lego Mindstorms NXT 1. Auflage Roboter programmieren mit NXC für Lego Mindstorms NXT schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG mitp/bhv Verlag

Mehr

Agile Vorgehensmodelle in der Softwareentwicklung: Scrum

Agile Vorgehensmodelle in der Softwareentwicklung: Scrum C A R L V O N O S S I E T Z K Y Agile Vorgehensmodelle in der Softwareentwicklung: Scrum Johannes Diemke Vortrag im Rahmen der Projektgruppe Oldenburger Robot Soccer Team im Wintersemester 2009/2010 Was

Mehr

Informationsblatt Induktionsbeweis

Informationsblatt Induktionsbeweis Sommer 015 Informationsblatt Induktionsbeweis 31. März 015 Motivation Die vollständige Induktion ist ein wichtiges Beweisverfahren in der Informatik. Sie wird häufig dazu gebraucht, um mathematische Formeln

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Mag. Christian Gürtler Programmierung Grundlagen der Informatik 2011 Inhaltsverzeichnis I. Allgemeines 3 1. Zahlensysteme 4 1.1. ganze Zahlen...................................... 4 1.1.1. Umrechnungen.................................

Mehr

40-Tage-Wunder- Kurs. Umarme, was Du nicht ändern kannst.

40-Tage-Wunder- Kurs. Umarme, was Du nicht ändern kannst. 40-Tage-Wunder- Kurs Umarme, was Du nicht ändern kannst. Das sagt Wikipedia: Als Wunder (griechisch thauma) gilt umgangssprachlich ein Ereignis, dessen Zustandekommen man sich nicht erklären kann, so dass

Mehr

Ordner Berechtigung vergeben Zugriffsrechte unter Windows einrichten

Ordner Berechtigung vergeben Zugriffsrechte unter Windows einrichten Ordner Berechtigung vergeben Zugriffsrechte unter Windows einrichten Was sind Berechtigungen? Unter Berechtigungen werden ganz allgemein die Zugriffsrechte auf Dateien und Verzeichnisse (Ordner) verstanden.

Mehr

Eva Douma: Die Vorteile und Nachteile der Ökonomisierung in der Sozialen Arbeit

Eva Douma: Die Vorteile und Nachteile der Ökonomisierung in der Sozialen Arbeit Eva Douma: Die Vorteile und Nachteile der Ökonomisierung in der Sozialen Arbeit Frau Dr. Eva Douma ist Organisations-Beraterin in Frankfurt am Main Das ist eine Zusammen-Fassung des Vortrages: Busines

Mehr

Anleitung über den Umgang mit Schildern

Anleitung über den Umgang mit Schildern Anleitung über den Umgang mit Schildern -Vorwort -Wo bekommt man Schilder? -Wo und wie speichert man die Schilder? -Wie füge ich die Schilder in meinen Track ein? -Welche Bauteile kann man noch für Schilder

Mehr

2. Negative Dualzahlen darstellen

2. Negative Dualzahlen darstellen 2.1 Subtraktion von Dualzahlen 2.1.1 Direkte Subtraktion (Tafelrechnung) siehe ARCOR T0IF Nachteil dieser Methode: Diese Form der Subtraktion kann nur sehr schwer von einer Elektronik (CPU) durchgeführt

Mehr

Konfiguration VLAN's. Konfiguration VLAN's IACBOX.COM. Version 2.0.1 Deutsch 01.07.2014

Konfiguration VLAN's. Konfiguration VLAN's IACBOX.COM. Version 2.0.1 Deutsch 01.07.2014 Konfiguration VLAN's Version 2.0.1 Deutsch 01.07.2014 In diesem HOWTO wird die Konfiguration der VLAN's für das Surf-LAN der IAC-BOX beschrieben. Konfiguration VLAN's TITEL Inhaltsverzeichnis Inhaltsverzeichnis...

Mehr

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Diese Verbindung muss einmalig eingerichtet werden und wird benötigt, um den Zugriff vom privaten Rechner oder der Workstation im Home Office über

Mehr

von: Oktay Arslan Kathrin Steiner Tamara Hänggi Marco Schweizer GIB-Liestal Mühlemattstrasse 34 4410 Liestal ATG

von: Oktay Arslan Kathrin Steiner Tamara Hänggi Marco Schweizer GIB-Liestal Mühlemattstrasse 34 4410 Liestal ATG von: Oktay Arslan Kathrin Steiner Tamara Hänggi Marco Schweizer GIB-Liestal Mühlemattstrasse 34 4410 Liestal ATG 20.03.2009 1 Inhaltsverzeichnis 1. Zusammenfassung S. 3 2. Aufgabestellung S. 3 3. Lösungsansätze

Mehr

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme SSDs und Flash Memory Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme Seite 2 Inhalt Motivation Aufbau und Funktionsweise NAND vs NOR SLC vs MLC Speicherorganisation Vergleich mit konventionellen

Mehr

Neuanlage des Bankzugangs ohne das bestehende Konto zu löschen

Neuanlage des Bankzugangs ohne das bestehende Konto zu löschen 1 Neuanlage des Bankzugangs ohne das bestehende Konto zu löschen In moneyplex lässt sich ein Konto und ein Bankzugang nur einmal anlegen. Wenn sich der Bankzugang geändert hat oder das Sicherheitsmedium

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

4D Server v12 64-bit Version BETA VERSION

4D Server v12 64-bit Version BETA VERSION 4D Server v12 64-bit Version BETA VERSION 4D Server v12 unterstützt jetzt das Windows 64-bit Betriebssystem. Hauptvorteil der 64-bit Technologie ist die rundum verbesserte Performance der Anwendungen und

Mehr

10.1 Auflösung, Drucken und Scannen

10.1 Auflösung, Drucken und Scannen Um einige technische Erläuterungen kommen wir auch in diesem Buch nicht herum. Für Ihre Bildergebnisse sind diese technischen Zusammenhänge sehr wichtig, nehmen Sie sich also etwas Zeit und lesen Sie dieses

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Handbuch. NAFI Online-Spezial. Kunden- / Datenverwaltung. 1. Auflage. (Stand: 24.09.2014)

Handbuch. NAFI Online-Spezial. Kunden- / Datenverwaltung. 1. Auflage. (Stand: 24.09.2014) Handbuch NAFI Online-Spezial 1. Auflage (Stand: 24.09.2014) Copyright 2016 by NAFI GmbH Unerlaubte Vervielfältigungen sind untersagt! Inhaltsangabe Einleitung... 3 Kundenauswahl... 3 Kunde hinzufügen...

Mehr

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet.

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet. Widerstandsnetzwerke - Grundlagen Diese Aufgaben dienen zur Übung und Wiederholung. Versucht die Aufgaben selbständig zu lösen und verwendet die Lösungen nur zur Überprüfung eurer Ergebnisse oder wenn

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Task: Nmap Skripte ausführen

Task: Nmap Skripte ausführen Task: Nmap Skripte ausführen Inhalt Einfache Netzwerkscans mit NSE Ausführen des Scans Anpassung der Parameter Einleitung Copyright 2009-2015 Greenbone Networks GmbH Herkunft und aktuellste Version dieses

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Fax einrichten auf Windows XP-PC

Fax einrichten auf Windows XP-PC Um ein PC Fax fähig zu machen braucht man einen sogenannten Telefon Anschluss A/B das heißt, Fax funktioniert im Normalfall nur mit Modem nicht mit DSL. Die meisten neueren PCs haben ein Modem integriert.

Mehr

Handbuch ECDL 2003 Basic Modul 5: Datenbank Grundlagen von relationalen Datenbanken

Handbuch ECDL 2003 Basic Modul 5: Datenbank Grundlagen von relationalen Datenbanken Handbuch ECDL 2003 Basic Modul 5: Datenbank Grundlagen von relationalen Datenbanken Dateiname: ecdl5_01_00_documentation_standard.doc Speicherdatum: 14.02.2005 ECDL 2003 Basic Modul 5 Datenbank - Grundlagen

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Typo3 - Inhalte. 1. Gestaltung des Inhaltsbereichs. 2. Seitenunterteilung einfügen

Typo3 - Inhalte. 1. Gestaltung des Inhaltsbereichs. 2. Seitenunterteilung einfügen Typo3 - Inhalte 1. Gestaltung des Inhaltsbereichs Das Layout der neuen TVA Website sieht neben dem grafischen Rahmen und den Navigations-Elementen oben und links einen grossen Inhaltsbereich (graue Fläche)

Mehr

Guide DynDNS und Portforwarding

Guide DynDNS und Portforwarding Guide DynDNS und Portforwarding Allgemein Um Geräte im lokalen Netzwerk von überall aus über das Internet erreichen zu können, kommt man um die Themen Dynamik DNS (kurz DynDNS) und Portweiterleitung(auch

Mehr

Projektmanagement in der Spieleentwicklung

Projektmanagement in der Spieleentwicklung Projektmanagement in der Spieleentwicklung Inhalt 1. Warum brauche ich ein Projekt-Management? 2. Die Charaktere des Projektmanagement - Mastermind - Producer - Projektleiter 3. Schnittstellen definieren

Mehr

Das große ElterngeldPlus 1x1. Alles über das ElterngeldPlus. Wer kann ElterngeldPlus beantragen? ElterngeldPlus verstehen ein paar einleitende Fakten

Das große ElterngeldPlus 1x1. Alles über das ElterngeldPlus. Wer kann ElterngeldPlus beantragen? ElterngeldPlus verstehen ein paar einleitende Fakten Das große x -4 Alles über das Wer kann beantragen? Generell kann jeder beantragen! Eltern (Mütter UND Väter), die schon während ihrer Elternzeit wieder in Teilzeit arbeiten möchten. Eltern, die während

Mehr

Leichte-Sprache-Bilder

Leichte-Sprache-Bilder Leichte-Sprache-Bilder Reinhild Kassing Information - So geht es 1. Bilder gucken 2. anmelden für Probe-Bilder 3. Bilder bestellen 4. Rechnung bezahlen 5. Bilder runterladen 6. neue Bilder vorschlagen

Mehr

Technical Note 0302 ewon

Technical Note 0302 ewon Technical Note 0302 ewon Variablen (Tags) aus einer Rockwell Automation Steuerung auslesen - 1 - Inhaltsverzeichnis 1 Allgemeines... 3 1.1 Information... 3 1.2 Hinweis... 3 2 Allen Bradley MicroLogix...

Mehr

Was meinen die Leute eigentlich mit: Grexit?

Was meinen die Leute eigentlich mit: Grexit? Was meinen die Leute eigentlich mit: Grexit? Grexit sind eigentlich 2 Wörter. 1. Griechenland 2. Exit Exit ist ein englisches Wort. Es bedeutet: Ausgang. Aber was haben diese 2 Sachen mit-einander zu tun?

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Jan Parthey, Christin Seifert. 22. Mai 2003

Jan Parthey, Christin Seifert. 22. Mai 2003 Simulation Rekursiver Auto-Assoziativer Speicher (RAAM) durch Erweiterung eines klassischen Backpropagation-Simulators Jan Parthey, Christin Seifert jpar@hrz.tu-chemnitz.de, sech@hrz.tu-chemnitz.de 22.

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Local Control Network Technische Dokumentation

Local Control Network Technische Dokumentation Steuerung von Hifi-Anlagen mit der LCN-GVS Häufig wird der Wunsch geäußert, eine Hi-Fi-Anlage in die Steuerung der LCN-GVS einzubinden. Auch das ist realisierbar. Für die hier gezeigte Lösung müssen wenige

Mehr

Künstliches binäres Neuron

Künstliches binäres Neuron Künstliches binäres Neuron G.Döben-Henisch Fachbereich Informatik und Ingenieurwissenschaften FH Frankfurt am Main University of Applied Sciences D-60318 Frankfurt am Main Germany Email: doeben at fb2.fh-frankfurt.de

Mehr

Datensicherung. Beschreibung der Datensicherung

Datensicherung. Beschreibung der Datensicherung Datensicherung Mit dem Datensicherungsprogramm können Sie Ihre persönlichen Daten problemlos Sichern. Es ist möglich eine komplette Datensicherung durchzuführen, aber auch nur die neuen und geänderten

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

3 ORDNER UND DATEIEN. 3.1 Ordner

3 ORDNER UND DATEIEN. 3.1 Ordner Ordner und Dateien PC-EINSTEIGER 3 ORDNER UND DATEIEN Themen in diesem Kapitel: Erstellung von Ordnern bzw Dateien Umbenennen von Datei- und Ordnernamen Speicherung von Daten 3.1 Ordner Ordner sind wie

Mehr

TTS - TinyTimeSystem. Unterrichtsprojekt BIBI

TTS - TinyTimeSystem. Unterrichtsprojekt BIBI TTS - TinyTimeSystem Unterrichtsprojekt BIBI Mathias Metzler, Philipp Winder, Viktor Sohm 28.01.2008 TinyTimeSystem Inhaltsverzeichnis Problemstellung... 2 Lösungsvorschlag... 2 Punkte die unser Tool erfüllen

Mehr

Tipps & Tricks Neuerungen Nr. 5/ 2015. Externe Web-Shops im UniKat für Laborverbrauchsmaterial & Chemikalien

Tipps & Tricks Neuerungen Nr. 5/ 2015. Externe Web-Shops im UniKat für Laborverbrauchsmaterial & Chemikalien Tipps & Tricks Neuerungen Nr. 5/ 2015 V01 08-06-2015 Externe Web-Shops im UniKat für Laborverbrauchsmaterial & Chemikalien Inhalt Einleitung... 2 Externe Web-Shops auswählen... 3 Artikelsuche und Artikelauswahl...

Mehr

Er musste so eingerichtet werden, dass das D-Laufwerk auf das E-Laufwerk gespiegelt

Er musste so eingerichtet werden, dass das D-Laufwerk auf das E-Laufwerk gespiegelt Inhaltsverzeichnis Aufgabe... 1 Allgemein... 1 Active Directory... 1 Konfiguration... 2 Benutzer erstellen... 3 Eigenes Verzeichnis erstellen... 3 Benutzerkonto erstellen... 3 Profil einrichten... 5 Berechtigungen

Mehr

GRS SIGNUM Product-Lifecycle-Management

GRS SIGNUM Product-Lifecycle-Management GRS SIGNUM Product-Lifecycle-Management Das optionale Modul Product-Lifecycle-Management stellt eine mächtige Ergänzung zum Modul Forschung & Entwicklung dar. Folgende Punkte werden dabei abgedeckt: Definition

Mehr

Handbuch Fischertechnik-Einzelteiltabelle V3.7.3

Handbuch Fischertechnik-Einzelteiltabelle V3.7.3 Handbuch Fischertechnik-Einzelteiltabelle V3.7.3 von Markus Mack Stand: Samstag, 17. April 2004 Inhaltsverzeichnis 1. Systemvorraussetzungen...3 2. Installation und Start...3 3. Anpassen der Tabelle...3

Mehr

Vgl. Kapitel 4 aus Systematisches Requirements Engineering, Christoph Ebert https://www.sws.bfh.ch/studium/cas/swe-fs13/protected/re/re_buch.

Vgl. Kapitel 4 aus Systematisches Requirements Engineering, Christoph Ebert https://www.sws.bfh.ch/studium/cas/swe-fs13/protected/re/re_buch. Vgl. Kapitel 4 aus Systematisches Requirements Engineering, Christoph Ebert https://www.sws.bfh.ch/studium/cas/swe-fs13/protected/re/re_buch.pdf Nachdem die Projekt-Vision und die Stakeholder bekannt sind,

Mehr

Kontakte Dorfstrasse 143 CH - 8802 Kilchberg Telefon 01 / 716 10 00 Telefax 01 / 716 10 05 info@hp-engineering.com www.hp-engineering.

Kontakte Dorfstrasse 143 CH - 8802 Kilchberg Telefon 01 / 716 10 00 Telefax 01 / 716 10 05 info@hp-engineering.com www.hp-engineering. Kontakte Kontakte Seite 1 Kontakte Seite 2 Inhaltsverzeichnis 1. ALLGEMEINE INFORMATIONEN ZU DEN KONTAKTEN 4 2. WICHTIGE INFORMATIONEN ZUR BEDIENUNG VON CUMULUS 4 3. STAMMDATEN FÜR DIE KONTAKTE 4 4. ARBEITEN

Mehr

How to do? Projekte - Zeiterfassung

How to do? Projekte - Zeiterfassung How to do? Projekte - Zeiterfassung Stand: Version 4.0.1, 18.03.2009 1. EINLEITUNG...3 2. PROJEKTE UND STAMMDATEN...4 2.1 Projekte... 4 2.2 Projektmitarbeiter... 5 2.3 Tätigkeiten... 6 2.4 Unterprojekte...

Mehr

1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage:

1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage: Zählen und Zahlbereiche Übungsblatt 1 1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage: Für alle m, n N gilt m + n = n + m. in den Satz umschreiben:

Mehr

Tutorium zur Mikroökonomie II WS 02/03 Universität Mannheim Tri Vi Dang. Aufgabenblatt 3 (KW 44) (30.10.02)

Tutorium zur Mikroökonomie II WS 02/03 Universität Mannheim Tri Vi Dang. Aufgabenblatt 3 (KW 44) (30.10.02) Tutorium zur Mikroökonomie II WS 02/03 Universität Mannheim Tri Vi Dang Aufgabenblatt 3 (KW 44) (30.10.02) Aufgabe 1: Preisdiskriminierung dritten Grades (20 Punkte) Ein innovativer Uni-Absolvent plant,

Mehr

Preisvergleich ProfitBricks - Amazon Web Services M3 Instanz

Preisvergleich ProfitBricks - Amazon Web Services M3 Instanz Preisvergleich - Amazon Web Services M3 Instanz Stand Preisliste : 10.04.2014 www.profitbricks.de Stand Preisliste : 10.04.2014 Hotline: 0800 22 44 66 8 product@profitbricks.com Vorwort Preisvergleiche

Mehr

Fachdidaktik der Informatik 18.12.08 Jörg Depner, Kathrin Gaißer

Fachdidaktik der Informatik 18.12.08 Jörg Depner, Kathrin Gaißer Fachdidaktik der Informatik 18.12.08 Jörg Depner, Kathrin Gaißer Klassendiagramme Ein Klassendiagramm dient in der objektorientierten Softwareentwicklung zur Darstellung von Klassen und den Beziehungen,

Mehr

iloq Privus Bedienungsanleitung Schließanlagen Programmierung Version 1 - Copyright 2013

iloq Privus Bedienungsanleitung Schließanlagen Programmierung Version 1 - Copyright 2013 iloq Privus Schließanlagen Programmierung Version 1 - Copyright 2013 Kurth Electronic GmbH Kommunikations- & Sicherheitssysteme / Im Scherbental 5 / 72800 Eningen u. A. Tel: +49-7121-9755-0 / Fax: +49-7121-9755-56

Mehr

my-ditto festplattenfreie Version

my-ditto festplattenfreie Version 1 my-ditto festplattenfreie Version my-ditto ist ein Netzwerkspeicher (NAS), auf dessen Daten Sie von überall auf der Welt per sicherem USB-Stick oder Mobilgerät zugreifen können (iphone, ipad, Android

Mehr

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4 1. Kennlinien Der Transistor BC550C soll auf den Arbeitspunkt U CE = 4 V und I C = 15 ma eingestellt werden. a) Bestimmen Sie aus den Kennlinien (S. 2) die Werte für I B, B, U BE. b) Woher kommt die Neigung

Mehr

Daten verarbeiten. Binärzahlen

Daten verarbeiten. Binärzahlen Daten verarbeiten Binärzahlen In Digitalrechnern werden (fast) ausschließlich nur Binärzahlen eingesetzt. Das Binärzahlensystem ist das Stellenwertsystem mit der geringsten Anzahl von Ziffern. Es kennt

Mehr

infach Geld FBV Ihr Weg zum finanzellen Erfolg Florian Mock

infach Geld FBV Ihr Weg zum finanzellen Erfolg Florian Mock infach Ihr Weg zum finanzellen Erfolg Geld Florian Mock FBV Die Grundlagen für finanziellen Erfolg Denn Sie müssten anschließend wieder vom Gehaltskonto Rückzahlungen in Höhe der Entnahmen vornehmen, um

Mehr

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice easyident Türöffner Art. Nr. FS-0007 Wir freuen uns, das sie sich für unser Produkt easyident Türöffner, mit Transponder Technologie entschieden haben. Easyident Türöffner ist für Unterputzmontage in 55mm

Mehr

Bluetooth Headset Modell Nr. BT-ET007 (Version V2.0+EDR) ANLEITUNG Modell Nr. BT-ET007 1. Einführung Das Bluetooth Headset BT-ET007 kann mit jedem Handy verwendet werden, das über eine Bluetooth-Funktion

Mehr

Windows Server 2012 R2 Essentials & Hyper-V

Windows Server 2012 R2 Essentials & Hyper-V erklärt: Windows Server 2012 R2 Essentials & Hyper-V Windows Server 2012 R2 Essentials bietet gegenüber der Vorgängerversion die Möglichkeit, mit den Boardmitteln den Windows Server 2012 R2 Essentials

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr