Einführung in Computer Microsystems 8. Speicher, PLLs, Busse

Größe: px
Ab Seite anzeigen:

Download "Einführung in Computer Microsystems 8. Speicher, PLLs, Busse"

Transkript

1 Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Prof. Dr.-Ing. Sorin A. Huss Fachbereich Informatik Integrierte Schaltungen und Systeme SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 1

2 Inhalt Strukturen von Speichern Speicherbus-Protokolle Modellierung von Speichern in VHDL Speicher in FPGAs Takterzeugung und PLLs Busse SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 2

3 Strukturen von Speichern Speicher werden in mikroelektronischen Systemen in unterschiedlichster Ausprägung verwendet. z. B. zum Speichern von Konfigurationen (flüchtig/nichtflüchtig) Zum Speichern von Daten, die bei Berechnungen anfallen (ggf. Geschwindigkeit der Speicher wird zum Flaschenhals) FPGAs besitzen sowohl Speicher für Daten als auch für die Konfiguration des FPGAs selbst Kongurationsspeicher (für CLBs, Verbindungen) können üchtig sein auch nichtüchtige Speicher kommen zum Einsatz Speicher zur Realisierung der Komponenten in einer Implementierung Read-Only-Memory (ROM) Random-Access-Memory (RAM) First In First Out (FIFO) SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 3

4 Read-Only-Memory (ROM) Ein ROM wird eigentlich nur gelesen aber einmal muß es auch geschrieben werden CD-ROM DVD-ROM Halbleiter-ROMs Realisierung: maskenprogrammierbar - hohe Stückzahl für Wirtschaftlichkeit MOS-Anreicherungstransistor Realisierung (s. nächste Folie): Diodenmatrix 1 Raster von Leiterbahnen, wobei an entsprechenden Stellen Dioden verbaut wurden Vorhandene Diode wird als logische 1 interpretiert Speicher ist nur auszulesen und nicht veränderbar 1 diode matrix SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 4

5 Read-Only-Memory (ROM) PROMs - programmable ROMs Diodenmatrix Anschlussbeine der Dioden sind so dünn, dass sie bei groÿem Stromuss durchbrennen PROM hatte zuerst alle Bits auf 1 gesetzt nachträglich konnte man die 0 einbrennen SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 5

6 Read-Only-Memory (ROM) EPROM - electronically programmable ROM modernere Variante des PROMs Raster von speziellen Floating-Gate-Transistoren Neben Steuer-Gate besitzt Transistor noch ein Floating-Gate Floating-Gate ist von Substrat umgeben und kann für längere Zeit Ladung speichern Verschiebung der Durchschaltspannung, unterschiedliche Zustände Löschung mittels ultraviolettem Licht SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 6

7 Read-Only-Memory (ROM) EEPROM - electronically erasable PROM selbes Prinzip wie EPROM Speicher kann elektronisch gelöscht werden sehr dünnes Floating-Gate ein Impuls hoher Spannung kann den Ursprungszustand wieder herstellen Löschvorgänge sind langsam und setzen dem Material zu ca Schreibzyklen möglich Flash-EEPROM nicht einzelne Bits, sondern Blockstruktur SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 7

8 Random-Access-Memory (RAM) RAM Speicher ist flüchtig es gibt unterschiedliche Arten von RAM Speichern Statisches RAM Dynamisches RAM außerdem synchrone, asynchrone RAMs DDR-RAM - sowohl bei der steigenden wie bei der fallenden Flanke des Taktes werden Datenworte gelesen oder geschrieben (Double Data Rate) trotz stetiger Weiterentwicklung ist Speicher im Vergleich zum Prozessortakt langsam, Speicher ist sehr oft der Flaschenhals Shared Memory Systeme/Distributed Shared Memory Systeme SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 8

9 Statisches RAM Koppelt man zwei Inverter gegeneinander, so ergibt sich ein Speichereffekt Aufbau einer statischen RAM Zelle 0 an der Datenleitung (DATA) sowie an der Adressleitung, ergibt an der Leitung DATA eine 1 Der Wert bleibt erhalten, wenn sich der Wert der Adressleitung ändert - statisches RAM (SRAM) SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 9

10 Statisches RAM Realisierung der Inverter mit zwei Feldeffekttransistoren 6-T-Zelle Anwendung von SRAM Speichern Cache Im Vergleich zum DRAM (nächste Folien) schneller als DRAM teurer gröÿerer Platzbedarf SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 10

11 Dynamisches RAM Dynamisches RAM (DRAM) verwendet zur Speicherung der Information einen Kondensator Kondensator speichert ein Bit (z. B. geladen bedeutet 1, ungeladen 0) Laden des Kondensators benötigt Zeit, entladen auch, außerdem muß Kondensator refresht werden SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 11

12 Dynamisches RAM Ladevorgang des Kondensators Entladevorgang gleicher Effekt Kleiner Kondensator und kleiner Widerstand, schnell aufgeladen Außerdem entlädt sich der Kondensator mit der Zeit, die Ladung muß erneuert (refreshed) werden SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 12

13 Dynamisches RAM - Refresh Die Abstände zwischen einem Refresh müssen klein genug sein, um sicherzustellen, dass in der Zwischenzeit kein Kondensator so viel Ladung verloren haben kann, dass die logische Interpretation des Ladungsstandes falsch wäre. Da die Kondensatoren (in der Praxis) sehr klein sind, können sie nur wenig Ladung speichern. Deswegen muß der Refresh häufig ausgeführt werden Minimalwert (vgl. Buch Digitaltechnik, S. 181) alle 64 ms (ca. 15 Hz) Refresh-Logik kann auf Speicher integriert sein DRAM ist langsamer als SRAM, läßt aber höhere Integrationsdichte zu SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 13

14 Speicherbus-Protokolle Notwendige Anschlüsse eines SRAM-Bausteins Adressleitungen, um Zellen auszuwählen Datenleitungen eine Chipauswahlleitung CS (engl. chip select) eine Schreibleitung WE (engl. write enable) eine Leseleitung OE (engl. output enable) CS, WE und OE sind activ-low (angedeutet durch die Negierung der Signalnamen) Solange ein Chip nicht ausgewählt ist (CS ist auf 1), sind seine Ausgabeleitungen (DATA) hochohmig Steuerung des Chips im wesentlichen über CS SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 14

15 Speicherbus-Protokolle Schreib- und Lesezyklen SRAM Auslesen des Speichers über OE. Verzögerungszeiten des Speichers durch Schattierung dargestellt Beim Schreiben müssen Adressen und Daten vor der Übernahme stabil anliegen Beim Lesen dauert es nach der Änderung von CS noch eine Zeit, bis die gültigen Daten anliegen. SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 15

16 Speicherbus-Protokolle Zusammenfassung von Adressleitungen am Speicherbus für Reihen- und Spaltenadressen Adressdaten für Reihen- und Spaltenadressen werden dann nacheinander übertragen (Zeitmultiplex) Row- und Column-Address-Strobe Vorteil: Die Anzahl der Adressleitungen wird reduziert Nachteil: aufwendigere Steuerung wird z. B. in DRAM-Realisierungen verwendet SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 16

17 Speicherbus-Protokolle Schreib- und Lesezyklen DRAM Speicheradresse wird aufgeteilt in Zeilenadresse und Spaltenadresse Nach dem Anlegen der Zeilenadresse wird das Row-Address-Strobe (RAS) gesetzt Spaltenadresse wird durch das Column-Address-Strobe (CAS) signalisiert SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 17

18 Modellierung von Speichern in VHDL Das Verhalten (auch zeitbehaftetes) von Speicher kann natürlich in VHDL beschrieben werden Außerdem können für die Synthese von Schaltungen mit den gängigen Entwicklungstools (Xilinx ISE, Altera Quartus II) Primitiven für Speicher erzeugt werden. Speicher in System können bei der Zieltechnologie FPGA auf zwei Arten realisiert werden Benutzung der CLBs, LEs - Flip-Flop Nutzung dedizierter Speicher auf dem FPGA - sogenannte eingebettete Speicher Viele verschiedene Varianten konfigurierbar Single-Port-Speicher Dual-Port-Speicher ROM-Speicher Taktung von Eingängen, Ausgängen etc. SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 18

19 Beschreibung eines ROM Speichers e n t i t y ROM_16_x_4 i s P o r t ( a d d r e s s : i n STD_LOGIC_VECTOR (3 downto 0 ) ; data : o u t STD_LOGIC_VECTOR (3 downto 0 ) ) ; end ROM_16_x_4; a r c h i t e c t u r e B e h a v i o r a l o f ROM_16_x_4 i s t y p e ROM_t i s a r r a y (15 downto 0) o f s t d _ l o g i c _ v e c t o r (3 downto 0 ) ; s i g n a l ROM : ROM_t; b e g i n data <= ROM(CONV_INTEGER( a d d r e s s ) ) ; F ü r T e s t z w e c k e ROM <= ( " 0000 ", " 0100 ", " 0110 ", " 0101 ", " 1101 ", " 1010 ", " 1001 ", " 1000 ", " 0001 ", " 1011 ", " 0010 ", " 1100 ", " 0100 ", " 1000 ", " 0010 ", " 1111 " ) ; end B e h a v i o r a l ; adaptiert aus Advanced Digital Design with the Verilog HDL S. 424 SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 19

20 Beschreibung eines SRAM Speichers e n t i t y sram i s P o r t ( a d d r e s s : i n STD_LOGIC_VECTOR (3 downto 0 ) ; CS : i n STD_LOGIC ; WE : i n STD_LOGIC ; OE : i n STD_LOGIC ; data : i n o u t STD_LOGIC_VECTOR (7 downto 0 ) ) ; end sram ; a r c h i t e c t u r e B e h a v i o r a l o f sram i s t y p e SRAM_t i s a r r a y (15 downto 0) o f s t d _ l o g i c _ v e c t o r (7 downto 0 ) ; s i g n a l memory : SRAM_t ; b e g i n data <= memory (CONV_INTEGER( a d d r e s s ) ) when ( ( n o t CS) and ( n o t OE) ) = ' 1 ' e l s e ( o t h e r s => 'Z ' ) ; p r o c e s s (CS, WE) b e g i n i f ( ( n o t CS) and ( n o t WE) ) = ' 1 ' t h e n memory (CONV_INTEGER( a d d r e s s ) ) <= data ; end i f ; end p r o c e s s ; end B e h a v i o r a l ; adaptiert aus Digitaltechnik S. 184 SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 20

21 Beschreibung eines DRAM Speichers entity dram i s Port ( a d d r e s s : in STD_LOGIC_VECTOR (3 downto 0 ) ; RAS, CAS, WE, OE : i n STD_LOGIC ; data : i n o u t STD_LOGIC_VECTOR (7 downto 0 ) ) ; end dram ; architecture B e h a v i o r a l of dram i s type DRAM_t i s array (15 downto 0, 15 downto 0) of std_logic_vector (7 downto 0 ) ; s i g n a l memory : DRAM_t; s i g n a l row, column : s t d _ l o g i c _ v e c t o r (3 downto 0 ) ; b e g i n data <= memory (CONV_INTEGER( row ),CONV_INTEGER( column ) ) a f t e r 4ns when ( not OE) = '1 ' e l s e ( o t h e r s => 'Z ' ) a f t e r 4 ns ; p r o c e s s (RAS) b e g i n i f (RAS ' event and RAS = '0 ' ) t h e n row <= a d d r e s s ; end i f ; end process ; p r o c e s s (CAS) b e g i n i f (CAS ' event and CAS = '0 ' ) t h e n column <= a d d r e s s ; end i f ; end process ; p r o c e s s (WE) b e g i n i f (WE' event and WE = '0 ' ) t h e n memory (CONV_INTEGER( row ),CONV_INTEGER( column ) ) <= data ; end i f ; end process ; end Behavioral ; Verzögerung vier Zeiteinheiten SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 21

22 Strukturen von FPGAs eingebettete Speicherblöcke, Single-Port Speicher RAM 32x1S A[4] A[3:0] (BX) 4 G[4:1] RAM WG[4:1] D D WE WCLK (BY) (SR) WS WSG WE0 WE CK WSF DI F5MUX D Q Output Registered Output 4 WS DI RAM D F[4:1] WF[4:1] (optional) DS083-2_10_ entnommen aus Virtex Datenblatt SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 22

23 Strukturen von FPGAs eingebettete Speicherblöcke, Dual-Port Speicher RAM 16x1D DPRA[3:0] A[3:0] 4 4 dual_port RAM G[4:1] D WG[4:1] DPO D (BY) WS DI WSG WE CK A[3:0] 4 dual_port RAM G[4:1] D SPO WG[4:1] WS DI WE WCLK (SR) WSG WE CK DS031_04_ entnommen aus Virtex Datenblatt SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 23

24 Nutzung durch Entwicklungstools I New Source Wizard, IP (CORE Generator & Architecture Wizard) Memories & Storage Elements, Block Memory Generator v2.7 SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 24

25 Nutzung durch Entwicklungstools II Generierung von verzögerten Ausgängen Vorgabe von Speicherinhalt durch dedizierte Dateien (MIF) SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 25

26 Nutzung durch Entwicklungstools III Für den zu entwickelnden Prozessor wird ein solcher Speicher benötigt. Speicherbelegung generiert ein Assembler. Teilweise sehr gute Möglichkeiten der Fehlersuche durch Speichermonitore Tools erlauben Zugriff auf eingebettete Speicher des FPGAs SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 26

27 Takterzeugung und PLLs I Takterzeugung erfolgt physikalisch z. B. durch einen Quarz Quarz hat eine Taktfrequenz von 50 MHz Entwickeltes System ist so kompliziert, dass nur ein Takt von 25 MHz möglich ist Wie erreicht man eine Taktteilung? Z. B. durch rückgekoppeltes Flip-Flop SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 27

28 Takterzeugung und PLLs II Quarz hat eine Taktfrequenz von 50 MHz Taktfrequenz z. B. auf 1 Hz teilen? Naive Lösung: viele rückgekoppelte Flip-Flops in Reihe schalten Unpraktikabel: lange Durchlaufzeit, Resourcenverschwendung an CLBs Bessere Lösung: Zähler mit verschiedenen Abgriffen SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 28

29 Takterzeugung und PLLs III Verschiedene Taktfrequenz ergeben sich durch unterschiedliche Abgriffe e n t i t y t e i l e r i s P o r t ( c l k i n : i n STD_LOGIC ; c l k o u t 1, c l k o u t 2, c l k o u t 3 : o u t STD_LOGIC ) ; end t e i l e r ; a r c h i t e c t u r e b e h a v i o r o f t e i l e r i s s i g n a l c o u n t e r : s t d _ l o g i c _ v e c t o r (24 downto 0) := ( o t h e r s => ' 0 ' ) ; b e g i n c l k o u t 1 <= c o u n t e r ( 2 4 ) ; c l k o u t 2 <= c o u n t e r ( 2 3 ) ; c l k o u t 3 <= c o u n t e r ( 1 8 ) ; p r o c e s s ( c l k i n ) b e g i n i f ( c l k i n ' e v e n t and c l k i n = ' 1 ' ) t h e n counter <= counter + 1 ; end i f ; end p r o c e s s ; end b e h a v i o r ; SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 29

30 Takterzeugung und PLLs II DCM - Digital Clock Manager, IP - Core SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 30

31 Busse Datenbusse/Adressbusse Bei der parallelen Verschaltungen z. B. mehrerer Speicher (Auswahl der Speicher z. B. über CS) muß es die Möglichkeit geben, dass sich die Speicher vom Bus abkoppeln können. Struktur des FPGAs unterstützt Tri(Three)-State Logik. Jeder CLB (Virtex-II Pro) enthält zwei Tri-State-Treiber e n t i t y t h r e e s t a t e i s P o r t ( e n a b l e, i : i n s t d _ l o g i c ; o : o u t s t d _ l o g i c ) ; end t h r e e s t a t e ; a r c h i t e c t u r e b e h a v i o r o f t h r e e s t a t e i s b e g i n o <= i when ( e n a b l e = ' 1 ' ) e l s e 'Z ' ; end b e h a v i o r ; SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 31

32 Busse/Adressdecoder Adressdecoder Bei der Zusammenschaltung von Speichern gibt es z. B. auch die Möglichkeit, ROM und RAM an denselben Adressbus und Datenbus anzuschließen. Dekodierung der Adressen setzt dann Signale wie CS Prinzip eines Dekoders in VHDL e n t i t y d e c o d e r i s P o r t ( i : i n s t d _ l o g i c _ v e c t o r (1 downto 0 ) ; o : o u t s t d _ l o g i c _ v e c t o r (3 downto 0 ) ) ; end decoder ; a r c h i t e c t u r e b e h a v i o r o f d e c o d e r i s b e g i n w i t h i s e l e c t o <= " 0001 " when "00", " 0010 " when "01", " 0100 " when "10", " 1000 " when "11", " 0000 " when o t h e r s ; end b e h a v i o r ; SS 2009 Integrierte Schaltungen und Systeme Einführung in Computer Microsystems Prof. Dr.-Ing. Sorin A. Huss 32

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen)

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Der Kondensator Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Kondensatoren sind Bauelemente, welche elektrische Ladungen bzw. elektrische Energie

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Anleitung über den Umgang mit Schildern

Anleitung über den Umgang mit Schildern Anleitung über den Umgang mit Schildern -Vorwort -Wo bekommt man Schilder? -Wo und wie speichert man die Schilder? -Wie füge ich die Schilder in meinen Track ein? -Welche Bauteile kann man noch für Schilder

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Klassifizierung der Halbleiterspeicher

Klassifizierung der Halbleiterspeicher Klassifizierung der Halbleiterspeicher Halbleiterspeicher nicht flüchtig flüchtig AM nicht löschbar OM POM löschbar EPOM EEPOM statisch AM dynamisch AM abei bedeuten die Abürzungen: OM AM POM EPOM EEPOM

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Wie man Registrationen und Styles von Style/Registration Floppy Disketten auf die TYROS-Festplatte kopieren kann.

Wie man Registrationen und Styles von Style/Registration Floppy Disketten auf die TYROS-Festplatte kopieren kann. Wie man Registrationen und Styles von Style/Registration Floppy Disketten auf die TYROS-Festplatte kopieren kann. Einleitung Es kommt vor, dass im Handel Disketten angeboten werden, die Styles und Registrationen

Mehr

B 2. " Zeigen Sie, dass die Wahrscheinlichkeit, dass eine Leiterplatte akzeptiert wird, 0,93 beträgt. (genauerer Wert: 0,933).!:!!

B 2.  Zeigen Sie, dass die Wahrscheinlichkeit, dass eine Leiterplatte akzeptiert wird, 0,93 beträgt. (genauerer Wert: 0,933).!:!! Das folgende System besteht aus 4 Schraubenfedern. Die Federn A ; B funktionieren unabhängig von einander. Die Ausfallzeit T (in Monaten) der Federn sei eine weibullverteilte Zufallsvariable mit den folgenden

Mehr

Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank

Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank Die Entscheidung Advoware über VPN direkt auf dem lokalen PC / Netzwerk mit Zugriff auf die Datenbank des zentralen Servers am anderen

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs in Zukunft Richard Roth / FB Informatik und Mathematik Speicher 2 DRAM Speicherzelle (Trench Technology)

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

ecaros2 - Accountmanager

ecaros2 - Accountmanager ecaros2 - Accountmanager procar informatik AG 1 Stand: FS 09/2012 Inhaltsverzeichnis 1 Aufruf des ecaros2-accountmanager...3 2 Bedienung Accountmanager...4 procar informatik AG 2 Stand: FS 09/2012 1 Aufruf

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Dokumentenverwaltung im Internet

Dokumentenverwaltung im Internet Dokumentenverwaltung im Internet WS 09/10 mit: Thema: Workflow und Rollenverteilung im Backend Gruppe: DVI 10 Patrick Plaum und Kay Hofmann Inhalt 1. Benutzer und Benutzergruppen erstellen...2 1.1. Benutzergruppen...2

Mehr

Informationsblatt Induktionsbeweis

Informationsblatt Induktionsbeweis Sommer 015 Informationsblatt Induktionsbeweis 31. März 015 Motivation Die vollständige Induktion ist ein wichtiges Beweisverfahren in der Informatik. Sie wird häufig dazu gebraucht, um mathematische Formeln

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

GEVITAS Farben-Reaktionstest

GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest Inhalt 1. Allgemeines... 1 2. Funktionsweise der Tests... 2 3. Die Ruhetaste und die Auslösetaste... 2 4. Starten der App Hauptmenü... 3 5. Auswahl

Mehr

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005 trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005 2 Inhalt 1. Anleitung zum Einbinden eines über RS232 zu steuernden Devices...3 1.2 Konfiguration

Mehr

C.M.I. Control and Monitoring Interface. Zusatzanleitung: Datentransfer mit CAN over Ethernet (COE) Version 1.08

C.M.I. Control and Monitoring Interface. Zusatzanleitung: Datentransfer mit CAN over Ethernet (COE) Version 1.08 C.M.I. Version 1.08 Control and Monitoring Interface Zusatzanleitung: Datentransfer mit CAN over Ethernet (COE) de LAN LAN Beschreibung der Datentransfermethode Mit dieser Methode ist es möglich, analoge

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

Konzepte der Informatik

Konzepte der Informatik Konzepte der Informatik Vorkurs Informatik zum WS 2011/2012 26.09. - 30.09.2011 17.10. - 21.10.2011 Dr. Werner Struckmann / Christoph Peltz Stark angelehnt an Kapitel 1 aus "Abenteuer Informatik" von Jens

Mehr

Einführungskurs MOODLE Themen:

Einführungskurs MOODLE Themen: Einführungskurs MOODLE Themen: Grundlegende Einstellungen Teilnehmer in einen Kurs einschreiben Konfiguration der Arbeitsunterlagen Konfiguration der Lernaktivitäten Die Einstellungen für einen Kurs erreichst

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Bedingungen. Bedingungen. Bedingungen

Bedingungen. Bedingungen. Bedingungen Oftmals ist das Arbeiten mit notwendig. Dabei können sich die auf Formatierungen beziehen, aber auch auf Transformationen. Bedingte Formatierung Datentransformation 24.04.2006 Einführung in Excel 91 24.04.2006

Mehr

Bedienungsanleitung Lithium Akku mit Fernbedienung

Bedienungsanleitung Lithium Akku mit Fernbedienung Bedienungsanleitung Lithium Akku mit Fernbedienung 22/12 /2014 (01) Übersicht 1. Technische Daten 2. Laden des AMG-Akku 3. Funktionen der Fernbedienung 4. Anschluss der DC-Steckverbindung 5. Einstellen

Mehr

TECHNISCHE DOKUMENTATION ZUM TURBO-FREEZER XL 3 & XE 1

TECHNISCHE DOKUMENTATION ZUM TURBO-FREEZER XL 3 & XE 1 TECHNISCHE DOKUMENTATION ZUM TURBO-FREEZER XL 3 & XE 1 Turbo-Freezer XL 3 & XE 1 / Version 2.4 1988 - Bernhard Engl ABBUC 2004 / Mit freundlicher Genehmigung von Bernhard Engl Aus den original Unterlagen

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Matrix42. Matrix42 Cloud Trial Erste Schritte. Version 1.0.0 03.02.2016 - 1 -

Matrix42. Matrix42 Cloud Trial Erste Schritte. Version 1.0.0 03.02.2016 - 1 - Matrix42 Matrix42 Cloud Trial Erste Schritte Version 1.0.0 03.02.2016-1 - Inhaltsverzeichnis 1Einleitung 3 2Cloud Trial Steuerung 4 2.1 Starten der Cloud-Umgebung 4 2.2 Bedienen der Maschinen in der Cloud

Mehr

1 Aufgaben zu Wie funktioniert ein Computer?

1 Aufgaben zu Wie funktioniert ein Computer? 71 1 Aufgaben zu Wie funktioniert ein Computer? Netzteil a) Welche Spannungen werden von PC-Netzteilen bereitgestellt? 3.3 V, 5 V, 12 V, -5 V, -12 V. b) Warum können PC-Netzteile hohe Leistungen liefern,

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

Wir arbeiten mit Zufallszahlen

Wir arbeiten mit Zufallszahlen Abb. 1: Bei Kartenspielen müssen zu Beginn die Karten zufällig ausgeteilt werden. Wir arbeiten mit Zufallszahlen Jedesmal wenn ein neues Patience-Spiel gestartet wird, muss das Computerprogramm die Karten

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

Anbindung des eibport an das Internet

Anbindung des eibport an das Internet Anbindung des eibport an das Internet Ein eibport wird mit einem lokalen Router mit dem Internet verbunden. Um den eibport über diesen Router zu erreichen, muss die externe IP-Adresse des Routers bekannt

Mehr

Zählen von Objekten einer bestimmten Klasse

Zählen von Objekten einer bestimmten Klasse Zählen von Objekten einer bestimmten Klasse Ziel, Inhalt Zur Übung versuchen wir eine Klasse zu schreiben, mit der es möglich ist Objekte einer bestimmten Klasse zu zählen. Wir werden den ++ und den --

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

Speicher in der Cloud

Speicher in der Cloud Speicher in der Cloud Kostenbremse, Sicherheitsrisiko oder Basis für die unternehmensweite Kollaboration? von Cornelius Höchel-Winter 2013 ComConsult Research GmbH, Aachen 3 SYNCHRONISATION TEUFELSZEUG

Mehr

Downloadfehler in DEHSt-VPSMail. Workaround zum Umgang mit einem Downloadfehler

Downloadfehler in DEHSt-VPSMail. Workaround zum Umgang mit einem Downloadfehler Downloadfehler in DEHSt-VPSMail Workaround zum Umgang mit einem Downloadfehler Downloadfehler bremen online services GmbH & Co. KG Seite 2 Inhaltsverzeichnis Vorwort...3 1 Fehlermeldung...4 2 Fehlerbeseitigung...5

Mehr

Umwandelung einer Physikalischen Größe in eine Elektrische

Umwandelung einer Physikalischen Größe in eine Elektrische Umwandelung einer Physikalischen Größe in eine Elektrische Mit dem Sensor LM35CZ Von Lukas Babilon und Jonas Eichhorn Inhaltsverzeichnis Umwandelung einer physikalischen Größe in eine Elektrische Einleitung...3

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Künstliches binäres Neuron

Künstliches binäres Neuron Künstliches binäres Neuron G.Döben-Henisch Fachbereich Informatik und Ingenieurwissenschaften FH Frankfurt am Main University of Applied Sciences D-60318 Frankfurt am Main Germany Email: doeben at fb2.fh-frankfurt.de

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

A. Ersetzung einer veralteten Govello-ID ( Absenderadresse )

A. Ersetzung einer veralteten Govello-ID ( Absenderadresse ) Die Versendung von Eintragungsnachrichten und sonstigen Nachrichten des Gerichts über EGVP an den Notar ist nicht möglich. Was kann der Notar tun, um den Empfang in seinem Postfach zu ermöglichen? In zahlreichen

Mehr

Welche Bereiche gibt es auf der Internetseite vom Bundes-Aufsichtsamt für Flugsicherung?

Welche Bereiche gibt es auf der Internetseite vom Bundes-Aufsichtsamt für Flugsicherung? Welche Bereiche gibt es auf der Internetseite vom Bundes-Aufsichtsamt für Flugsicherung? BAF ist die Abkürzung von Bundes-Aufsichtsamt für Flugsicherung. Auf der Internetseite gibt es 4 Haupt-Bereiche:

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Batterie richtig prüfen und laden

Batterie richtig prüfen und laden Batterie richtig prüfen und laden Vor allem kleine Mopeds, Motorräder und Roller, also 50er und 125er, kämpfen häufig mit Elektrikproblemen. Hauptursache ist meist eine schwache Batterie. Die Licht- und

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

Wir machen neue Politik für Baden-Württemberg

Wir machen neue Politik für Baden-Württemberg Wir machen neue Politik für Baden-Württemberg Am 27. März 2011 haben die Menschen in Baden-Württemberg gewählt. Sie wollten eine andere Politik als vorher. Die Menschen haben die GRÜNEN und die SPD in

Mehr

L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016

L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016 L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016 Referentin: Dr. Kelly Neudorfer Universität Hohenheim Was wir jetzt besprechen werden ist eine Frage, mit denen viele

Mehr

Lichtbrechung an Linsen

Lichtbrechung an Linsen Sammellinsen Lichtbrechung an Linsen Fällt ein paralleles Lichtbündel auf eine Sammellinse, so werden die Lichtstrahlen so gebrochen, dass sie durch einen Brennpunkt der Linse verlaufen. Der Abstand zwischen

Mehr

Erstellen eines Wordpress-Blogs

Erstellen eines Wordpress-Blogs Erstellen eines Wordpress-Blogs Inhalt 1 Einen Wordpress-Blog erstellen... 3 2 Wordpress konfigurieren... 5 2.1 Wordpress-Anmeldung... 5 2.2 Sprache einstellen... 7 2.3 Einen neuen Artikel verfassen...

Mehr

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 Die Installation der FuxMedia Software erfolgt erst NACH Einrichtung des Netzlaufwerks! Menüleiste einblenden, falls nicht vorhanden Die

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

OPERATIONEN AUF EINER DATENBANK

OPERATIONEN AUF EINER DATENBANK Einführung 1 OPERATIONEN AUF EINER DATENBANK Ein Benutzer stellt eine Anfrage: Die Benutzer einer Datenbank können meist sowohl interaktiv als auch über Anwendungen Anfragen an eine Datenbank stellen:

Mehr

Matrix42. Use Case - Sicherung und Rücksicherung persönlicher Einstellungen über Personal Backup. Version 1.0.0. 23. September 2015 - 1 -

Matrix42. Use Case - Sicherung und Rücksicherung persönlicher Einstellungen über Personal Backup. Version 1.0.0. 23. September 2015 - 1 - Matrix42 Use Case - Sicherung und Rücksicherung persönlicher Version 1.0.0 23. September 2015-1 - Inhaltsverzeichnis 1 Einleitung 3 1.1 Beschreibung 3 1.2 Vorbereitung 3 1.3 Ziel 3 2 Use Case 4-2 - 1 Einleitung

Mehr

Webalizer HOWTO. Stand: 18.06.2012

Webalizer HOWTO. Stand: 18.06.2012 Webalizer HOWTO Stand: 18.06.2012 Copyright 2003 by manitu. Alle Rechte vorbehalten. Alle verwendeten Bezeichnungen dienen lediglich der Kennzeichnung und können z.t. eingetragene Warenzeichen sein, ohne

Mehr

Lösungen zu Kapazitäten / Kondensatoren

Lösungen zu Kapazitäten / Kondensatoren Ein- und Ausschaltvorgänge mit Kapazitäten A47: (869, 870) Ein Kondensator von µf wird über einen Widerstand von 3 MΩ auf eine Spannung von 50 V geladen. Welche Werte hat der Ladestrom a) 0,3 s, b), s,

Mehr

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4.

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4. UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-oachim Lieske Tel.: [49]-0341-97 32213

Mehr

Aufbau eines Digitalzählers

Aufbau eines Digitalzählers INTITUT FÜ NGWNDT PHYIK Physikalisches Praktikum für tudierende der Ingenieurswissenschaften Universität Hamburg, Jungiusstraße ufbau eines Digitalzählers inleitung Jede beliebige Information kann zerlegt

Mehr

TTS - TinyTimeSystem. Unterrichtsprojekt BIBI

TTS - TinyTimeSystem. Unterrichtsprojekt BIBI TTS - TinyTimeSystem Unterrichtsprojekt BIBI Mathias Metzler, Philipp Winder, Viktor Sohm 28.01.2008 TinyTimeSystem Inhaltsverzeichnis Problemstellung... 2 Lösungsvorschlag... 2 Punkte die unser Tool erfüllen

Mehr

Experimentiersatz Elektromotor

Experimentiersatz Elektromotor Experimentiersatz Elektromotor Demonstration der Erzeugung von elektrischem Stromfluss durch Umwandlung von mechanischer Energie (Windrad) in elektrische Energie. Einführung Historisch gesehen hat die

Mehr

PIXMA MG3500. series. Einrichtungshandbuch

PIXMA MG3500. series. Einrichtungshandbuch PIXMA MG3500 series Einrichtungshandbuch CANON INC. 2013 Einrichtungshandbuch Dieses Handbuch enthält Informationen zum Einrichten einer Netzwerkverbindung für den Drucker. Netzwerkverbindung Drahtlose

Mehr

Media Teil III. Begriffe, Definitionen, Übungen

Media Teil III. Begriffe, Definitionen, Übungen Media Teil III. Begriffe, Definitionen, Übungen Kapitel 1 (Intermedia- Vergleich: Affinität) 1 Affinitätsbewertung als Mittel des Intermedia-Vergleichs Um die Streugenauigkeit eines Werbeträgers zu bestimmen,

Mehr

Grundlagen verteilter Systeme

Grundlagen verteilter Systeme Universität Augsburg Insitut für Informatik Prof. Dr. Bernhard Bauer Wolf Fischer Christian Saad Wintersemester 08/09 Übungsblatt 3 12.11.08 Grundlagen verteilter Systeme Lösungsvorschlag Aufgabe 1: a)

Mehr

Anleitung zum Download der aktuellsten GreenStar Software für Original GreenStar System (Stand: August 2005)

Anleitung zum Download der aktuellsten GreenStar Software für Original GreenStar System (Stand: August 2005) Anleitung zum Download der aktuellsten GreenStar Software für Original GreenStar System (Stand: August 2005) Teil 1: Download der Software von der Webseite zum Rechner Seite 2 Teil 2: Installation der

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

Arbeiten mit UMLed und Delphi

Arbeiten mit UMLed und Delphi Arbeiten mit UMLed und Delphi Diese Anleitung soll zeigen, wie man Klassen mit dem UML ( Unified Modeling Language ) Editor UMLed erstellt, in Delphi exportiert und dort so einbindet, dass diese (bis auf

Mehr

Schnellanleitung: Verbuchung von Studien- und Prüfungsleistungen

Schnellanleitung: Verbuchung von Studien- und Prüfungsleistungen Schnellanleitung: Verbuchung von Studien- und Prüfungsleistungen Die folgenden Schritte sind für die Verbuchung von Studien- bzw. Prüfungsleistungen notwendig. Eine Online-Anleitung mit vielen weiterführenden

Mehr

Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers

Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers Ist Excel das richtige Tool für FMEA? Einleitung Wenn in einem Unternehmen FMEA eingeführt wird, fangen die meisten sofort damit an,

Mehr

Sensor board EB003-00-2

Sensor board EB003-00-2 Sensor board EB003-00-2 Inhalt 1. Über dieses Dokument...2 2. Allgemeine Information...3 3. Board-Layout...4 4. Schaltungsbeschreibung...5 Anhang 1 Schaltplan Copyright Matrix Multimedia Limited 2005 seite

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr