Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Größe: px
Ab Seite anzeigen:

Download "Digitale Schaltungstechnik. Prof. Dr. P. Fischer"

Transkript

1 Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1

2 Organisatorisches Vorlesung: Termin: Mo 16:00 17:30 (Block 4) Mi 9:15 10:45 (Block 1) Ort: INF348, SR013 Dozent: Prof. Dr. P. Fischer, B6, 26, B3.02, Tel. 2735, Sekretariat Frau Wunsch, B6, 26, B3.03, Tel. 2733, Übung: Termin: Di 9:15 10:45 (Block 1) Ort: INF348, SR013 Übungsleiter: Christian Kreidl, MANNHEIM, B6, 26, B4.03, christian.kreidl at ziti.uni-heidelberg.de Prüfung: Klausur: Termin nach Vereinbarung (Präferenzen?) Die Arbeiten in der Übung sind wesentlicher Teil des Prüfungsstoffs! Punkte: 8 CP Internet: (und Jahre vorher) P. Fischer, ziti, Uni Heidelberg, Seite 2

3 Praktikum & Vorlesung Im Praktikum werden digitale Designs auf einem USB Board implementiert, das einen programmierbaren Xilinx ICs und weitere Bauteile enthält. Die Boards werden an Zweiergruppen ausgeliehen und können mit nach Hause genommen werden. Dort kann auf dem eigenen PC (Windows, zur Not Linux oder ios) gearbeitet werden. Es gibt auch eine Ansteckplatine mit verschiedenen weiteren Funktionen (ADC/DAC, Gitarrenverstärker, IRDA, SD-Card, DCF-Empfänger, Ultraschallsender, ) Beginnend mit einfachen logischen Verknüpfungen soll am Ende z.b. ein Videospiel implementiert werden (Game of Life, Screensaver, ). Andere Ideen sind willkommen! Designs werden z.t. als Schaltpläne eingegeben, hauptsächlich aber in der Hardware-Beschreibungssprache VERLIOG. In der VL gibt es 3 Doppelstunden zu Verilog, aber richtig erlernen kann man das nur durch Übung! Verilog (oder VHDL) sind sehr wichtige ( die ) Werkzeuge zum Hardwareentwurf Um so weit zu kommen, werden die für die Arbeit mit den programmierbaren Bausteinen nötigen Grundlagen so früh wie möglich behandelt. Der Aufbau von Transistoren, Herstellungstechnologie, Innenleben der Bausteine etc. werden daher erst im zweiten Teil des Semesters behandelt. P. Fischer, ziti, Uni Heidelberg, Seite 3

4 Umfrage Was ist n-dotierung? Wie funktioniert ein Bipolartransistor / ein MOS Transistor? Was ist der Early Effekt? Wie werden Chips hergestellt? Aus wie vielen Transistoren besteht ein CMOS NAND? Was ist ECL? Was ist ein PAL? Wer kennt ABEL / Verilog? Was ist ein Flipflop? Wie ist ein Binärzähler aufgebaut? Was ist ein Tri-State-Ausgang? Was ist eine Karnaugh-Map? Was ist das Zweierkomplement? Wie werden float Zahlen intern dargestellt? Wie funktioniert ein Carry-Lookahead Addierer? Was ist Booth-Encoding? P. Fischer, ziti, Uni Heidelberg, Seite 4

5 Inhalt der Vorlesung I Einführung & Motivation Historische Übersicht: Entwicklung der Technologie, Firmen und Leute Exponentielles Wachstum Moore's Law Kombinatorische Logik Zustände und Zahlendarstellung Boolsche Algebra, Regeln der Schaltalgebra Beschreibungen von Funktionen: Tabelle, K-MAP, Gatter, Verilog,... Elementare Gatter, Decoder, Multiplexer, Code-Umsetzer,... Kompliziertere Funktionen: Halbaddierer, Volladdierer, Komparator,... Arithmetik: Addierer, Subtrahierer und Multiplizierer Digitale Simulation Hardware-Beschreibung mit Verilog Syntax Beschreibung kombinatorischer Schaltungen Beschreibung sequentieller Schaltungen Beispiele P. Fischer, ziti, Uni Heidelberg, Seite 5

6 Inhalt der Vorlesung II Sequentielle Logik Flipflops, Setup- und Hold- Zeiten Systematischer Entwurf von Schaltwerken ('Automaten') Zustandskodierung (Minimum Bit Change, One Hot) Zähler, Schieberegister,... Pipelining Programmierbare Logikbausteine PLD-Strukturen: PAL, PLA, LCA Beispiel: Altera, Xilinx, Actel, etc., Beispiele für aktuelle Bauteile Computergestützter PLD-Entwurf P. Fischer, ziti, Uni Heidelberg, Seite 6

7 Inhalt der Vorlesung III Diode und Transistor Dotierung, Bändermodell, Elektronen und Löcher, Sperrschicht Diode, Kennlinie, Kapazität MOSFET (einfaches Modell in starker Inversion, linearer Bereich und Sättigung) Bipolartransistor Herstellungstechnologie Logikfamilien und Signalniveaus NMOS, CMOS, TTL, ECL, PECL, LVDS, Pass Gates, CML Logikfamilien, Signalpegel, Störabstände Open drain, Wired-OR, Tri-State Speicher ROM EPROM, EEPROM, Flash-EPROM,... statische RAMs dynamische RAMs P. Fischer, ziti, Uni Heidelberg, Seite 7

8 Literatur 1. Für viele Themen die meisten Bücher über digitales Design 2. Einführung in die Halbleiter Schaltungstechnik H. Göbel (Autor der Smile Applet in Hamburg), Springer, ISBN , ~50 Sehr verständlich, genau der richtige Umfang! Mit CD mit Applets, PSPICE, Beispielen. Kaufen! 3. Contemporary Logic Design R. Katz, Addison-Wesley 1994, ISBN , 91 (Amazon) Klassiker für CMOS Design, bezahlbar, einfach zu lesen 4. Digital Integrated Circuits: A Design Perspective Jan M. Rabaey, Prentice Hall 1985, ISBN , (Amazon) Stärker Hardware-orientiert 5. Halbleiter Schaltungstechnik U. Tietze, C. Schenk, Springer, 80 (Amazon) 6. Digitaltechnik K. Beuth, Vogel Fachbuch, ISBN , (Amazon) 7. Logischer Entwurf digitaler Systeme H. Liebig, S. Thome, Springer 1996, ISBN , 45 (Amazon) Schwerpunkt liegt auf funktionaler Ebene. Wird in der Rechnerarchitektur eingesetzt. 8. Principles of CMOS VLSI Design Neil H. E. Weste, K. Eshraghian, Addison-Wesley 1994, ISBN , 91 (Amazon) Klassiker für CMOS Design, einfach zu lesen 9. Verilog Tutorials gibt es im Netz gute Links bitte weiterleiten! P. Fischer, ziti, Uni Heidelberg, Seite 8

9 Digital vs. Analog 'Wir leben in einer digitalen Welt' Aber: Wir leben in einer analogen Welt! Alle 'Meßgrößen' unserer Umwelt (Licht, Töne, Temperatur, elektrische Spannungen, Druck etc..) sind analog. Die analoge Verarbeitung und Übertragung ist daher 'natürlich': - Telefon, Fernsehen, Musikaufnahme und Wiedergabe (Band, Schallplatte), Temperaturregelung, analoge Computer! Analoge Signale sind jedoch störanfällig und 'ungenau'. Daher waren z.b. Computer schon sehr früh digital. Mit den schnell steigenden Möglichkeiten der Digitaltechnik werden immer mehr analoge Signale durch digitalisierte Werte ersetzt: Analog Digital Wandler Digitale Verarbeitung und Übertragung Digital Analog Wandler Der 'Aufwand' für die Analog-Digital und Digital-Analog-Wandlung ist geringer als der Vorteil der digitalen Verarbeitung P. Fischer, ziti, Uni Heidelberg, Seite 9

10 Die digitale Welt Maus,Touchpad Interfaces SoundKarte Mikrofon CPU RGB DAC Web-CAM Internet Modem/DSL Modem/DSL CPU-Temperatur Grafikkarte RAM Lüftergeschwindigkeit Vorteile der digitalen Verarbeitung: - Störsicherheit, auch bei hohen Geschwindigkeiten. Möglichkeiten der Fehlererkennung und Korrektur - Hoher dynamischer Bereich / hohe Auflösung - Robuste Architekturen - Wiederverwertbarkeit von Design-Lösungen - Einfache Portabilität zwischen unterschiedlichen Technologien Aber: Wir leben in einer analogen Welt: digitale Signale sind analoge Signale! - Zum Verständnis und zur Optimierung (z.b. der Geschwindigkeit) werden die Grundbauelemente als analoge Schaltungen betrachtet. - RAM-Leseverstärker, Leseverstärker von Platten, IO-Zellen etc. sind analoge Baugruppen! P. Fischer, ziti, Uni Heidelberg, Seite 10

11 Beispiel: Analoger Musik-Synthesizer Tastatur Exponentiator Hüllkurvengeneratoren Voltage Controlled Oscillator 1 Voltage Controlled Filter 1 Voltage Controlled Amplifier 1 Voltage Controlled Oscillator N Voltage Controlled Filter N Voltage Controlled Amplifier N Rausch- Generator LF-Generator Verzerrer Moog, ca. 1970, > 25 Patente... Mischer P. Fischer, ziti, Uni Heidelberg, Seite 11

12 Switched On Bach Revolutionäre 'Sounds', z.b. Walter Carlos: 'Switched On Bach'... P. Fischer, ziti, Uni Heidelberg, Seite 12

13 Digitaler Synthesizer ('Sound Karte') Steuerung vom PC aus Digitale Erzeugung der Ausgangswerte Digital Analog Wandler P. Fischer, ziti, Uni Heidelberg, Seite 13

14 Der Kreis schließt sich Software-Emulation des Moog Modular 5 u.a. P. Fischer, ziti, Uni Heidelberg, Seite 14

15 Beispiel: Analoges Echo/Hallgerät Einstellung Verstärkung Verstärker Hallspirale Verstärker Addierer Mehrfache Wiederholung erreicht man durch Rückkopplung des Ausgangssignal auf den Eingang P. Fischer, ziti, Uni Heidelberg, Seite 15

16 Digitales Hallgerät Einstellung Verzögerung Einstellung Verstärkung ADC Verzögerung (Schieberegister / Ringspeicher) X K Addierer DAC 20 Bit ADC/DAC, 32 Bit Verarbeitung P. Fischer, ziti, Uni Heidelberg, Seite 16

17 Digitales Hallgerät Praktische Realisierung ADC Digitaler Signalprozessor DAC 20 Bit ADC/DAC, 32 Bit Verarbeitung, gleichzeitig digitale Filter, Raumsimulationen,... P. Fischer, ziti, Uni Heidelberg, Seite 17

18 Implementierung von Digitalen Schaltungen Komplexität (#Transistoren) Ultra Large Scale Integration (ULSI) Large Scale Integration (LSI) Small Scale Integration (SSI) Prozessoren Very Large Scale Integration (VLSI) Medium Scale Integration (MSI) Komplexe programmierbare Logik (CPLD) Einfache programmierbare Logik (PLD) Semi Custom Gate Arrays Structured ASIC Standard Zell Designs Full Custom Full Custom Chips Universell verwendbar 'general purpose ICs' Spezieller Einsatzbereich P. Fischer, ziti, Uni Heidelberg, Seite 18

19 'Small Scale Integration' Ab ~1960: Digitale Bauelemente enthalten einfache Funktionen: - 4 Gatter, 1 Decoder, 4 Bit Zähler - Dazu 'kleine' Speicherbausteine - ICs im Dual-In-Line (DIL) Gehäuse Meist nur ein- oder doppelseitige Platinen ('PCB': Printed Circuit Board) Beispiel: Drucker-Interface für den AppleII Computer: P. Fischer, ziti, Uni Heidelberg, Seite 19

20 Beispiel für SSI ICs 74LS00: 4 fach NAND 74LS90: 4 Bit Decade-Zähler (0..9) 5V, 7 mw, t PD =10 ns 5V, 45 mw, f max =16 MHz P. Fischer, ziti, Uni Heidelberg, Seite 20

21 'Medium Scale Integration' Ab ~1970: Komplexere ICs, z.b. einfache Mikroprozessoren (6502, 8080) Beispiel: Apple Computer (1977, 8Bit, 1 MHz). RAMs mit 8k x 1 bit, ROMs mit 8kx8 bit Video CPU RAM ROM 'Glue Logic' (Klebe- Logik) Diese vielen IC haben 'wenig' Funktion. Kann man sie ersetzen? P. Fischer, ziti, Uni Heidelberg, Seite 21

22 Einfache programmierbare Logik Chips enthalten regelmäßige Strukturen aus UND und ODER Elementen, die vom Kunden für eine spezielle Anwendung programmiert werden können ('semi custom') Zunächst nur einmal programmierbar (One-Time-Programmable, OTP), dann UV-löschbar, dann elektrisch löschbare Typen Verschiedene Varianten haben Flipflops am Ausgang, so daß auch Zähler etc. erzeugt werden können PLA Zum Herausfinden des Programmiermusters sind erstmals notwendig: - Beschreibungssprache für die Logik (meist 'ABEL') - Software, die die gewünschte Funktion mit den technischen Möglichkeiten des Bausteins darstellt ('Synthese-Tools') - Software und Hardware zum Programmieren der Bausteine P. Fischer, ziti, Uni Heidelberg, Seite 22

23 Beispiel PALCE 16V8 Fortgeschrittenes PAL (feste ODER-Struktur) 8 Eingänge, 8 Ausgänge (können als Eingänge geschaltet werden), 20 Pins (+ OE, CLK, GND, VDD) Ausgänge mit FFs oder kombinatorisch, programmierbare Polarität, Tri-state programmierbar Ausschnitt (2/8 Ausgängen) Werden programmiert P. Fischer, ziti, Uni Heidelberg, Seite 23

24 Komplexere programmierbare Bausteine 'Field Programmable Gate Arrays' FPGAs (z.b. Xilinx, 1984): Slew Rate Control Passive Pull-Up, Pull-Down Vcc D Q Output Buffer Pad Q D Delay Input Buffer IO Block C1 C2 C3 C4 H1 DIN S/R EC CLB Switch Matrix CLB G4 G3 G2 G1 F4 F3 F2 F1 G Func. Gen. F Func. Gen. H Func. Gen. DIN F' G' H' G' H' DIN F' G' H' S/R Control 1 S/R Control D SD Q EC RD D SD Q Y CLB CLB Switch matrix K Logic Block H' F' 1 EC RD X P. Fischer, ziti, Uni Heidelberg, Seite 24

25 Beispiel eines kleinen Standardzellen-Chips Zeilen von Standardzellen Full Custom Block Ring für Versorgungsspannungen IO Pads P. Fischer, ziti, Uni Heidelberg, Seite 32

26 Etwas größer: 'Event Builder' für die Teilchenphysik Der genaue Inhalt der Full- Custom Blöcke ist dem Kunden u.u. nicht bekannt. Der Hersteller fügt das 'geheime' Innenleben erst vor der Herstellung ein. P. Fischer, ziti, Uni Heidelberg, Seite 33

27 Sehr großes Standard-Zell Design: ATOLL Entwickelt am LS Rechnerarchitektur in Mannheim Schnelles Interface mit sehr kleiner Latenz zum Aufbau von Rechnernetzen 5 Millionen Transistoren 0.18 µm Technologie 64 bit 133 MHz PCI-X Interface P. Fischer, ziti, Uni Heidelberg, Seite 34

28 Fertige komplexe Baugruppen IP Cores: Bestimmte Funktionsblöcke können als 'Intellectual Property Cores' eingekauft werden Werden vom Hersteller der Technologie oder von spezialisierten Firmen angeboten Angeboten werden -'soft cores' - source code der Hardwarebeschreibung -'hardcores' - (optimierte) Layouts Beispiele: - Mathematische Funktionen (Multiplizierer, Sinus, FFT,...) - Speicher - Fehlererkennung, Fehlerchecks - Prozessoren - DSPs - BUS-Interfaces (PCI, I2C, JTAG) - Peripheriebausteine - PLL, DLL P. Fischer, ziti, Uni Heidelberg, Seite 35

29 Full Custom Chips Das Layout des Chips (auf Transistorebene) wird vom Kunden erstellt. Notwendig zur Implementierung von speziellen Funktionen / Techniken (analoge Chips, ungewöhnliche Logikfamilien für die keine Standardzellen existieren,...) + Besonders effiziente Schaltungen mit höchster Performance + Besonders kompakte Layouts + Herstellungskosten < Standard Zellen (da kleinere Chips) - Lange Entwicklungszeit, erfordert viel Know-How Entwicklungskosten > Standard Zellen P. Fischer, ziti, Uni Heidelberg, Seite 36

30 Optimierung durch Full Custom Design Beispiel D-Latch Latch aus Gattern D Enable Q Q 18 Transistoren Latch aus Transistoren Enable D Enable schwacher Inverter Q Q 6 Transistoren! P. Fischer, ziti, Uni Heidelberg, Seite 37

31 Full Custom Chip: Pentium Prozessor P. Fischer, ziti, Uni Heidelberg, Seite 38

32 Gate Array, Semi-Custom, Full-Custom ICs Gate Array Standard Cell Flächen: ~3:2:1 für gleiche Funktion Full Custom P. Fischer, ziti, Uni Heidelberg, Seite 39

33 Grob-Ziele der Vorlesung Verständnis der zugrunde liegenden Hardware Aufbau der Grundbausteine digitaler Schaltungen aus Transistoren Unterschiedliche 'Logikfamilien', Signalpegel etc. Elementare Grundbauelemente (Gatter, Multiplexer,...) Komplexere Module (Addierer, Multiplizierer,...) Getaktete Schaltungen Programmierbare Logikbausteine Funktion und deren Beschreibung Bool'sche Algebra, Schaltalgebra, Minimierung Funktionale Beschreibung (Hardware-Beschreibungssprachen) Erzeugung von Funktionen mit gegebenen Hardware-Resourcen Strategien zum Entwurf von Schaltungen (Schaltpläne, Synthese) und Simulationswerkzeuge Praktische Erfahrung im FPGA Design In der Übung P. Fischer, ziti, Uni Heidelberg, Seite 40

Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Digitale Schaltungstechnik. Prof. Dr. P. Fischer Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Organisatorisches Vorlesung: Termin: Mi 9:15 10:45 (Block 1) Ort: INF 205, SR9 Dozent: Prof. Dr. P. Fischer, B6,

Mehr

Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Digitale Schaltungstechnik. Prof. Dr. P. Fischer Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Organisatorisches Vorlesung: Termin: Mo 16:00 17:30 (Block 4) Mi 9:15 10:45 (Block 1) Ort: INF348, SR013 Dozent:

Mehr

Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Digitale Schaltungstechnik. Prof. Dr. P. Fischer Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Organisatorisches Vorlesung: Termin: Mo 16:00 17:30 Mi 9:15 10:45 Ort: INF348, SR013 Dozent: Prof. Dr. P. Fischer,

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala ASIC Application-Specific Integrated Circuit Technische Informatik K. Slotala Was ist ASIC? Anwendungsspezifische Schaltung, die fest im Schaltkreis integriert ist An die Anforderungen der Anwender angepasst

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

XY-Plotter. Hardware. Software µp. Software PC. von Thomas Wagner

XY-Plotter. Hardware. Software µp. Software PC. von Thomas Wagner XY-Plotter von Thomas Wagner Im folgendem wird ein XY-Plotter beschrieben, der universell einsetzbar ist, jedoch für einen speziellen Einsatzzweck entworfen wurde: die Übertragung, Anzeige und Speicherung

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Staatlich geprüfter EDV-Führerschein

Staatlich geprüfter EDV-Führerschein Staatlich geprüfter 1. Seit wie viel Jahren gibt es den Personal Computer? seit ~ 50 Jahren seit ~ 30 Jahren seit ~ 20 Jahren seit ~ 5 Jahren Computer gibt es schon immer. 2. Ein Computer wird auch als

Mehr

Installation OMNIKEY 3121 USB

Installation OMNIKEY 3121 USB Installation OMNIKEY 3121 USB Vorbereitungen Installation PC/SC Treiber CT-API Treiber Einstellungen in Starke Praxis Testen des Kartenlesegeräts Vorbereitungen Bevor Sie Änderungen am System vornehmen,

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR Robuste Strom-Phasenwinkelmessung für CPS-Frequenzumrichter... 1 ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR R. Czainski 1 EINLEITUNG Frequenzumrichter

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Pflichtenheft. Projektteam. Rexford Osei - Frey Michael Weichert Thomas Thutewohl. Pflichtenheft Seite 1 von 7

Pflichtenheft. Projektteam. Rexford Osei - Frey Michael Weichert Thomas Thutewohl. Pflichtenheft Seite 1 von 7 Pflichtenheft Projektteam Rexford Osei - Frey Michael Weichert Thomas Thutewohl Pflichtenheft Seite 1 von 7 Inhaltsverzeichnis Allgemeines Seite 3 Projektteam, Auftraggeber, Betreuer Projektbeschreibung

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

Umwandelung einer Physikalischen Größe in eine Elektrische

Umwandelung einer Physikalischen Größe in eine Elektrische Umwandelung einer Physikalischen Größe in eine Elektrische Mit dem Sensor LM35CZ Von Lukas Babilon und Jonas Eichhorn Inhaltsverzeichnis Umwandelung einer physikalischen Größe in eine Elektrische Einleitung...3

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

VLSI Design WS 03/04 Prof. Dr. P. Fischer Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Mannheim

VLSI Design WS 03/04 Prof. Dr. P. Fischer Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Mannheim VLSI Design WS 03/04 Prof. Dr. P. Fischer Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Mannheim P. Fischer, TI, Uni Mannheim, Seite 1 Organisatorisches Zeit, Ort: Montag

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

5 Verarbeitungsschaltungen

5 Verarbeitungsschaltungen 5 Verarbeitungsschaltungen Folie 1 5 Verarbeitungsschaltungen Häufig genutzte Funktionen gibt es als fertige Bausteine zu kaufen. 5.1 Addierer logische Schaltungen zur Addition zweier Dualzahlen Alle Grundrechenarten

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4.

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4. UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-oachim Lieske Tel.: [49]-0341-97 32213

Mehr

Intuitive Kassensysteme für Shop und Ticketing. Willkommen bei Ihrem Software-Partner. Schön, dass Sie uns gefunden haben. www.comtec-noeker.

Intuitive Kassensysteme für Shop und Ticketing. Willkommen bei Ihrem Software-Partner. Schön, dass Sie uns gefunden haben. www.comtec-noeker. Intuitive Kassensysteme für Shop und Ticketing. Willkommen bei Ihrem Software-Partner. Schön, dass Sie uns gefunden haben. Was ist Ihr Nutzen?... Sie möchten ein intuitiv bedienbares Kassensystem, das

Mehr

Autoradio On Off Schaltung

Autoradio On Off Schaltung Autoradio On Off Schaltung Konzeption, Anleitung und Hinweise Christian Schönig Schöneberger Str. 20 82377 Penzberg Inhalt 1. MOTIVATION... 3 1.1. AKTUELLER STAND BEI HERSTELLER RADIO... 3 1.2. ANSCHLUSS

Mehr

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip Das EVA-Prinzip 1 Steuerungsarten Steuerungen lassen sich im Wesentlichen nach folgenden Merkmalen unterscheiden: Unterscheidung nach Art der Informationsdarstellung Diese Unterscheidung bezieht sich auf

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Tragen Sie bitte im Anmeldefeld die Daten ein, die Sie von uns erhalten haben.

Tragen Sie bitte im Anmeldefeld die Daten ein, die Sie von uns erhalten haben. Online Katalog der Bildstelle Peine: http://nds-pe.datenbank-bildungsmedien.net/ Anmeldung: Klicken Sie bitte auf ganz rechts. Tragen Sie bitte im Anmeldefeld die Daten ein, die Sie von uns erhalten haben.

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Digi Vox Ultimate Pro

Digi Vox Ultimate Pro Digi Vox Ultimate Pro Bedienungsanleitung Ver. 1.0 Kapitel 1 Wichtiger Hinweis 1.1 Alle Kanale sind vom Empfangsradius abhängig und können von Zeit zu Zeit geändert werden. Die Aufnahme hängt von der Art

Mehr

Produktvorstellung: CMS System / dynamische Webseiten. 1. Vorwort

Produktvorstellung: CMS System / dynamische Webseiten. 1. Vorwort ALEX IT-Service Ihr IT Servicepartner»ALEX-IT Service«16341 Panketal an unsere Kundschaft Ihr Zeichen, Ihre Nachricht Unser Zeichen Telefon, Name Datum CMS 13.01.2010 Produktvorstellung: CMS System / dynamische

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter Labor Mikroelektronik Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich Versuch 2: CMOS-Inverter Stand: 19.4.2010 1 Aufgabenstellung Sie sollen in diesem Versuch einen

Mehr

Grundlagen der Datenverarbeitung

Grundlagen der Datenverarbeitung Grundlagen der Datenverarbeitung Bauelemente Mag. Christian Gürtler 5. Oktober 2014 Mag. Christian Gürtler Grundlagen der Datenverarbeitung 5. Oktober 2014 1 / 34 Inhaltsverzeichnis I 1 Einleitung 2 Halbleiter

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Errata dspic-boards Fachbereich MDT TU-Berlin

Errata dspic-boards Fachbereich MDT TU-Berlin Errata dspic-boards Fachbereich MDT TU-Berlin Betrifft folgende Boards: 1) dspic30f3013-board 2) dspic33fj256gp710-board (TUB-Version) 3) dspic33fj256gp710-board (Aalborg-Version) Ausgabe: 12.10.2007 Autoren:

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

sondern alle Werte gleich behandelt. Wir dürfen aber nicht vergessen, dass Ergebnisse, je länger sie in der Vergangenheit

sondern alle Werte gleich behandelt. Wir dürfen aber nicht vergessen, dass Ergebnisse, je länger sie in der Vergangenheit sondern alle Werte gleich behandelt. Wir dürfen aber nicht vergessen, dass Ergebnisse, je länger sie in der Vergangenheit liegen, an Bedeutung verlieren. Die Mannschaften haben sich verändert. Spieler

Mehr

Facharbeit Informatik. Thema:

Facharbeit Informatik. Thema: Facharbeit Informatik Thema: Rechneraufbau Mit Locad 2002 1 Inhaltsangabe Inhalt: Seite: 1. Einleitung 3 2. Inbetriebnahme der Schaltung 3 3. Eingabe 4 4. CPU 5 5. RAM/HDD 8 6. Ausgabe 10 7. Auf einer

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Aufbau der Cutmaster Einheit

Aufbau der Cutmaster Einheit Aufbau der Cutmaster Einheit Inhalt: Eine Cutmaster Einheit besteht aus einem Laptop, worauf ein Audioschnitt Programm installiert ist. Zur Zeit sind Cutmaster XP und Easy Cut 4.0. installiert. Weiter

Mehr

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005 trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005 2 Inhalt 1. Anleitung zum Einbinden eines über RS232 zu steuernden Devices...3 1.2 Konfiguration

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

1 Informationelle Systeme begriffliche Abgrenzung

1 Informationelle Systeme begriffliche Abgrenzung 1 Informationelle Systeme begriffliche Abgrenzung Im Titel dieses Buches wurde das Wort Softwaresystem an den Anfang gestellt. Dies ist kein Zufall, denn es soll einen Hinweis darauf geben, dass dieser

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

Funktionstest Ti2CA Compact

Funktionstest Ti2CA Compact Funktionstest Ti2CA Compact Systemarchitektur Die einfache Ansteuerung, hohe Ausbaustufen, bei geringem Kostenbedarf sowie die hohe Störsicherheit durch kurze Leitungslängen sind wesentliche Vorteile der

Mehr

Programmieren für Ingenieure Sommer 2015. Ein Rechner. Rechner sind überall. Gerät, das mittels programmierbarer Rechenvorschriften Daten verarbeitet.

Programmieren für Ingenieure Sommer 2015. Ein Rechner. Rechner sind überall. Gerät, das mittels programmierbarer Rechenvorschriften Daten verarbeitet. Programmieren für Ingenieure Sommer 2015 Andreas Zeller, Universität des Saarlandes Ein Rechner Gerät, das mittels programmierbarer Rechenvorschriften Daten verarbeitet. Rechner sind überall Ihr Rechner

Mehr

Implementierung eines Software Defined Radio auf einem FPGA

Implementierung eines Software Defined Radio auf einem FPGA Technik Auguste Feukam-Chindji Implementierung eines Software Defined Radio auf einem FPGA Diplomarbeit Fachhochschule Köln Cologne University of Applied Sciences 07 Fakultät für Informations-, Medien-

Mehr

Speicher in der Cloud

Speicher in der Cloud Speicher in der Cloud Kostenbremse, Sicherheitsrisiko oder Basis für die unternehmensweite Kollaboration? von Cornelius Höchel-Winter 2013 ComConsult Research GmbH, Aachen 3 SYNCHRONISATION TEUFELSZEUG

Mehr

Stift-Karussell in M-Plot einrichten

Stift-Karussell in M-Plot einrichten Einsteiger Fortgeschrittene Profis markus.meinl@m-quest.ch Version 1.0 Voraussetzungen für diesen Workshop Die M-Quest Suite 2005 oder höher ist auf einem Rechner installiert Das Produkt M-Plot Suite ist

Mehr

Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank

Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank Die Entscheidung Advoware über VPN direkt auf dem lokalen PC / Netzwerk mit Zugriff auf die Datenbank des zentralen Servers am anderen

Mehr

Durch die virtuelle Optimierung von Werkzeugen am Computer lässt sich die reale Produktivität von Servopressen erhöhen

Durch die virtuelle Optimierung von Werkzeugen am Computer lässt sich die reale Produktivität von Servopressen erhöhen PRESSEINFORMATION Simulation erhöht Ausbringung Durch die virtuelle Optimierung von Werkzeugen am Computer lässt sich die reale Produktivität von Servopressen erhöhen Göppingen, 04.09.2012 Pressen von

Mehr

Franzis Ingenieur-Elektronik. Jan Hendrik Jansen. Anwendung digitaler Bausteine. Beispiele komplexer Teilschaltungen aus digitalen Bausteinen

Franzis Ingenieur-Elektronik. Jan Hendrik Jansen. Anwendung digitaler Bausteine. Beispiele komplexer Teilschaltungen aus digitalen Bausteinen Franzis Ingenieur-Elektronik Jan Hendrik Jansen Anwendung digitaler Bausteine Beispiele komplexer Teilschaltungen aus digitalen Bausteinen Mit 258 Abbildungen Franzis 1 Verdrahtungstechniken und Verbindungssysteme

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Erfahrungen mit Hartz IV- Empfängern

Erfahrungen mit Hartz IV- Empfängern Erfahrungen mit Hartz IV- Empfängern Ausgewählte Ergebnisse einer Befragung von Unternehmen aus den Branchen Gastronomie, Pflege und Handwerk Pressegespräch der Bundesagentur für Arbeit am 12. November

Mehr

Das System der gewerblichen Schutzrechte und der Grundzüge des Urheberrechts aus gründerspezifischer Sicht

Das System der gewerblichen Schutzrechte und der Grundzüge des Urheberrechts aus gründerspezifischer Sicht Das System der gewerblichen Schutzrechte und der Grundzüge des Urheberrechts aus gründerspezifischer Sicht Vorlesung Wintersemester 2014/2015 Rechtsanwalt Alexander Goldberg Fachanwalt für gewerblichen

Mehr

Installationsanleitung für das KKL bzw. AGV4000 Interface

Installationsanleitung für das KKL bzw. AGV4000 Interface Installationsanleitung für das KKL bzw. AGV4000 Interface Diese Anleitung ist unter Windows XP erstellt worden, ist aber auch übertragbar auf Windows 2000/ Vista / Windows 7. Je nach Einstellungen des

Mehr

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice easyident Türöffner Art. Nr. FS-0007 Wir freuen uns, das sie sich für unser Produkt easyident Türöffner, mit Transponder Technologie entschieden haben. Easyident Türöffner ist für Unterputzmontage in 55mm

Mehr

Digital Design 5 Rechnergestützte Schaltungsentwicklung

Digital Design 5 Rechnergestützte Schaltungsentwicklung 5 Rechnergestützte Schaltungsentwicklung 5.1 Technologische Trends Richard Roth / FB Informatik und Mathematik Rechnergestützte Schaltungsentwicklung 1 Richard Roth / FB Informatik und Mathematik Rechnergestützte

Mehr

Messtechnik zum I2C-Bus

Messtechnik zum I2C-Bus Wenn man Projekte mit mehreren Teilnehmern am i2c-bus aufbaut oder andere Strukturen, die kompliziert sind, dann lohnen sich Messgeräte zur Analyse des Geschehens auf dem Bus. Es werden hier alle Möglichkeiten

Mehr

Sound-Formate. SelfLinux-0.10.0. Autor: Johnny Graber (linux@jgraber.ch) Formatierung: Torsten Hemm (T.Hemm@gmx.de) Lizenz: GFDL

Sound-Formate. SelfLinux-0.10.0. Autor: Johnny Graber (linux@jgraber.ch) Formatierung: Torsten Hemm (T.Hemm@gmx.de) Lizenz: GFDL Sound-Formate Autor: Johnny Graber (linux@jgraber.ch) Formatierung: Torsten Hemm (T.Hemm@gmx.de) Lizenz: GFDL Dieser Text soll einen kleinen Einstieg ins Thema Sound bieten. Neben dem in aller Munde befindlichen

Mehr

Ablaufsteuerung Sequenzer, als Schaltstufen für den Amateurfunk.

Ablaufsteuerung Sequenzer, als Schaltstufen für den Amateurfunk. Ablaufsteuerung Sequenzer, als Schaltstufen für den Amateurfunk. DF1JM Juli 2011 Eine Sequenz ist eine Reihenfolge. Auf den Amateurfunk bezogen sind Sequenzer Schaltstufen, die in einer festgelegten Zeit-

Mehr

WARY Notariat Urkundenrolle V2013

WARY Notariat Urkundenrolle V2013 Bedienungsanleitung WARY Notariat Urkundenrolle V2013 ICS Ing.-Ges. für Computer und Software mbh Bautzner Str. 105 01099 Dresden www.wary.de EMAIL: ICS-Dresden@T-Online.de Copyright 2013 by ICS GmbH Dresden

Mehr

C++ Tutorial: Timer 1

C++ Tutorial: Timer 1 C++ Tutorial: Timer 1 Timer v1.0 Einleitung Raum und Zeit sind spätestens seit der kopernikanischen Wende wichtige Gegenstände des Denkens geworden. In einem Programm bestimmt die Zeit die Abläufe und

Mehr