Name: DT2 Klausur

Größe: px
Ab Seite anzeigen:

Download "Name: DT2 Klausur"

Transkript

1 Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist die Nutzung fremder Hilfsmittel, sowie die gemeinsame Nutzung von Hilfsmitteln. Der Lösungsweg muss klar ersichtlich und eindeutig nachvollziehbar sein. Eine gut dokumentierte Lösung erlaubt es, auch Teilschritte zu bewerten. Jede Aufgabe hat einen Bewertungsrahmen, für den Sie in Klammern[] die maximal erreichbare Punktzahl ersehen. Wo nicht anders erwähnt, lässt sich jede Teilaufgabe unabhängig lösen. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden

2 Aufgabe 1 Analyse eines Zeitverlaufsdiagrammes [5+5+2 = 12 Punkte]. Das Zeitverlaufsdiagram und die Entity der Entwurfseinheit clock_teiler sind gegeben. ENTITY clock_teiler IS GENERIC (width: positive ; overflow: natural ); PORT( clk,reset: IN std_logic; pulse: OUT std_logic; clkdiv: OUT std_logic ); END clock_teiler; a) Vervollständigen Sie das RTL-Diagramm (mit allen Signalnamen) für clock_teiler. Benutzen Sie Signalnamen gemäss der Deklaration unten. [5] signal count: unsigned(width-1 downto 0); signal next_count: unsigned(width-1 downto 0); signal next_pulse: std_logic; signal next_clkdiv: std_logic; - 2 -

3 b) Beschreiben sie die Funktionalität von clock_teiler mit Worten. [2] Lösung: The block clock-teiler divides the input clock signal by a fixed factor (here equals 12, but probably fixed with the generic parameter overflow) and generates two output signals (buffered by output flip-flops): - pulse: is an impulse with a width of one clk cycle - clkdiv: is a 50% duty cycle signal with period 12 times larger as clock. c) Die Instanziierung des Blockes clock_teiler in der Testbench ist unten gegeben. Beschreiben Sie in VHDL den Prozess, der die Signale next_pulse und next_clkdiv berechnet. [5] CONSTANT t_width : positive := 4; CONSTANT t_overflow : natural := 11; -- Device under Test dut: clock_teiler GENERIC MAP( width => t_width, overflow => t_overflow) PORT MAP( clk => t_clk, reset => t_reset, pulse => t_pulse, clkdiv => t_clkdiv); - 3 -

4 Lösung: PROCESS FOR COMBINATORIAL LOGIC OF PULSE & CLKDIV logik : PROCESS(count) BEGIN -- Calculate pulse (width: single clk-cycle) IF (count >= to_unsigned(overflow,width)) THEN next_pulse <= '1'; ELSE next_pulse <= '0'; END IF; -- Calculate clkdiv (width: half count-cycle, 50% duty cycle) IF (count >= to_unsigned((overflow+1)/2,width)) THEN next_clkdiv <= '0'; ELSE next_clkdiv <= '1' ; END IF; END PROCESS logik; - 4 -

5 Aufgabe 2 Testbench Code analysieren [6+6=12 Punkte] Ein Flankendetektor Block mit einem seriellen Eingang flin und zwei Ausgängen steig und fall wird mit einer Testbench getestet. Der Schaltplan des Blockes ist unten gegeben. flankendetektor steig fall flin D Q D Q clock R R reset (a) Vervollständigen sie den Testbench Prozess stimuli_n_check, um die beschriebenen Szenarien zu testen: (Lösung in rot,) [6] -- Process to generate stimuli and check outputs stimuli_n_check: process begin -- TEST 0 : reset is high, outputs steig and fall should be 0 t_rst <= '1'; t_flin <= '1'; ASSERT (t_steig = '0') REPORT "TEST 0a: expected t_steig = 0" SEVERITY note; ASSERT (t_fall = '0') REPORT "TEST 0b: expected t_fall = 0" SEVERITY note; -- TEST 1 : reset still high, flin changes to 0 but steig and fall should stay 0 t_rst <= '1'; t_flin <= '0'; ASSERT (t_steig = '0') REPORT "TEST 1a: expected t_steig = 0" SEVERITY note; ASSERT (t_fall = '0') REPORT "TEST 1b: expected t_fall = 0" SEVERITY note; -- disactivate reset before going to next test t_rst <= '0'; -- TEST 2 : flin change to 1, steig should be 1 and fall 0 t_flin <= '1'; ASSERT (t_steig = '1') REPORT "TEST 2a: expected t_steig = 1" SEVERITY note; ASSERT (t_fall = '0') REPORT "TEST 2b: expected t_fall = 0" SEVERITY note; -- TEST 3 : flin stays at 1, both steig and fall should be 0 t_flin <= '1'; ASSERT (t_steig = '0') REPORT "TEST 3a: expected t_steig = 0" SEVERITY note; ASSERT (t fall = '0') REPORT "TEST 3b: expected t fall = 0" SEVERITY note; - 5 -

6 -- TEST 4 : flin change to 0, steig should be 0 and fall 1 t_flin <= '0'; ASSERT (t_steig = '0') REPORT "TEST 4a: expected t_steig = 0" SEVERITY note; ASSERT (t_fall = '1') REPORT "TEST 4b: expected t_fall = 1" SEVERITY note; -- TEST 5 : flin stays at 0, both steig and fall should be 0 t_flin <= '0'; ASSERT (t_steig = '0') REPORT "TEST 5a: expected t_steig = 0" SEVERITY note; ASSERT (t_fall = '0') REPORT "TEST 5b: expected t_fall = 0" SEVERITY note; -- STOP SIMULATION WHEN ALL TESTS PASSED assert false report " --- ALL TESTS PASSED ---" severity failure; b) Vervollständigen Sie das Zeitverlaufsdiagramm für den Fall, dass die Simulation mit der folgenden Nachricht stoppt: # ** Failure: --- ALL TESTS PASSED --- [6] - 6 -

7 Aufgabe 4: [ = 10 Punkte] Beantworten sie folgende Fragen zu unten gezeigtem Toplevel: a) Welche Components muss man im Top Level deklarieren? [1] Speicher,Edge, Tick_generator, FSM, b) Benennen Sie die notwendigen Instanziierungen mit sinnvollen Namen [1] u_edge, u_speicher, u_tick_generator, u_fsm c) Benennen Sie die Eingänge des Top Level. [1] din, clk d) Benennen Sie die Ausgänge des Top Level. [1] dout e) Benennen Sie die Signale, die im Top Level deklariert werden müssen. [1] edge, tick, enable0, enable1, enable2, enable3, valid f) Beschreiben sie die Prozesse der Entity Speicher : [5] (Beschreibung von 1 Bit und zugehörigem Speicher genügt) Speicher_comb: PROCESS(enable0, din, dout) If enable0 = 1 THEN dout_next(0) <= din(0); ELSE Dout_next(0) <= dout(0); END IF; END PROCESS speicher_comb; Speicher_clk: PROCESS(clk) If clk event AND clk= 1 THEN Dout <= dout_next; END IF; END PROCESS speicher_clk; - 7 -

8 Aufgabe 5: Das folgende RTL Diagramm zeigt den Aufbau des Tick Generators aus Aufgabe 4. Der Zähler soll sich wie im Zeitverlaufsdiagramm verhalten. Immer beim Nulldurchgang des Zählers, wird ein Tick von der Breite eines CLK Pulses erzeugt. Beschreiben Sie in VHDL nur den Process tick_comb: Tick_comb: PROCESS (count, valid, edge) IF edge = 1 THEN count_next <= 3; ELSIF count = 0 THEN count_next <= 2; ELSIF valid = 0 THEN count_next <= count - 1; ELSE count_next <= count; END IF; END PROCESS tick_comb; - 8 -

9 Aufgabe 6: 12 Punkte Für den Toplevel von Aufgabe 4 soll die FSM entworfen werden, die das Abspeichern des seriellen Bitstroms in die Entity Speicher steuert. Der Inhalt des Datenstromes, bestehend aus 4-Bits, soll in 4 Flip-Flops (FF0 - FF3) abgespeichert werden (siehe Speicher in Aufgabe 4). Ein Bitstrom beginnt mit der steigenden Flanke von din. Der Tick Generator generiert das Signal tick genau dann, wenn ein gültiges serielles Datenbit an din anliegt. Signal tick dauert genau eine Taktperiode. Die FSM erzeugt die 4 Signale (enable0-enable3), die am Speicher angeschlossen sind. Ist eines der enable Signale aktiv, so wird din an der nächsten Taktflanke in das entsprechende Flip-Flop abgespeichert. Das Signal Valid wird aktiv, sobald das letzte Bit des Nibbles im Speicher eingetragen ist und bleibt bis zur steigenden Flanke des nächsten Datenstromes aktiv. Hinweis: Die Ausgänge enable0-enable3 müssen im Zustandsdiagramm (Bubblediagramm) nicht gezeigt werden. a) Zeichnen Sie das Zustandsdiagramm (Bubblediagramm) mit Übergangsbedingungen und Zustandscodierung der FSMl. [6] - 9 -

10 tick = 0 edge = 0 tick = 1 AND edge = 0 tick = 0 edge = 1 sd0 100 sd1 011 valid <= 1 edge = 1 idle 000 edge = 1 edge = 1 tick = 1 AND edge = 0 sd3 001 tick = 0 tick = 1 AND edge = 0 tick = 1 AND edge = 0 sd2 010 tick = 0 b) Zeichnen Sie das RTL Diagramm Ihrer FSM; es müssen alle Signale und die Anzahl der FF ersichtlich sein. [4] c) Zeichnen Sie im Zeitverlaufsdiagramm oben die Zustände Ihrer FSM und die Signale enable0-enable3 ein. [2]

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Übung 7: VHDL Automaten

Übung 7: VHDL Automaten Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck?

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck? 12 2011/10/26 BFP Entwurf Eingebetteter Systeme Elektronische Musik Wintersemester 2011/12 Ein Klavier oder Wie klingt ein Rechteck? Michael Engel Informatik 12 TU Dortmund Überblick Entwurfsprinzipien

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Name: ES2 Klausur Thema: ARM Name: Punkte: Note:

Name: ES2 Klausur Thema: ARM Name: Punkte: Note: Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 75 min. Name nicht vergessen! Geben Sie alle Blätter ab. Die Reihenfolge der Aufgaben ist unabhängig vom Schwierigkeitsgrad. Erlaubte Hilfsmittel

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Codes. Kapitel 2-6: Beispiele kombinatorischer Schaltungen. Digital Simulator. Kapitelverzeichnis (Buch Künzli)

Codes. Kapitel 2-6: Beispiele kombinatorischer Schaltungen. Digital Simulator. Kapitelverzeichnis (Buch Künzli) Digital imulator Kapitelverzeichnis (uch Künzli) Für die Übungs Projekte nächstes bitte das nächste Mal den LapTop mitbringen und vorher den Digital imulator vom Netz laden: http://www.digital-simulator.de/.

Mehr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch 10.05.2017, 14:00 Uhr 1.1. Einführung In dieser Übung werden Sie ein VHDL-Modul

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

Hardwarepraktikum WS 2001/02

Hardwarepraktikum WS 2001/02 Hardwarepraktikum W / Versuch equentielle ysteme III Gruppe 68: enise Baldauf, 474 Thomas Winter, 4778 Michael Grieswald, 496 hemnitz, den.. Aufgabenstellungen zur Vorbereitung Aufgabe >> Beschreiben ie

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Simulieren der Unisim Library mit Hilfe des Simulator GHDL

Simulieren der Unisim Library mit Hilfe des Simulator GHDL Simulieren der Unisim Library mit Hilfe des Simulator GHDL René Doß http://www.dossmatik.de 22. Januar 2010 GHDL ist ein frei verfügbarer Simulator, der in der Entwicklung einsatzfähig ist. Das Tool ist

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch , 10:00 Uhr

Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch , 10:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2018 Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch 16.05.2018, 10:00 Uhr 1.1. Einführung In Übung 4 haben Sie einen Einzyklen-Datenpfad

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

5. Aufgabenblatt mit Lösungsvorschlag

5. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 5. Aufgabenblatt mit Lösungsvorschlag 19.05.2010 Aufgabe 1: Logik, Latch, Register Geben Sie für alle folgen reg-variablen an, ob

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 3. Vorlesung Dr.-Ing. Wolfgang Heenes 28. April 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Verilog HDL, Simulation und

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12 ERA-Zentralübung 12 Maximilian Bandle LRR TU München 27.1.2017 Schaltungsentwurf IV Rest von letzter Übung Aufgabe 11.1 Standardschaltnetze Aufgabe 10.3.3 Automaten 8 Erzeugung der Ausgabe Zuweisung der

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 25.3.2 Die Bearbeitungsdauer beträgt für

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Prog. Counter Memory Adress Register Befehl holen Incrementer Main store Instruction register Op-code Address Memory Buffer Register CU Clock Control

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

Erstellen eines RS-Flip-Flops mit Hilfe eines Blockdiagramms

Erstellen eines RS-Flip-Flops mit Hilfe eines Blockdiagramms Erstellen eines RS-Flip-Flops mit Hilfe eines Blockdiagramms von Jörn Schneider 1. Schritt Zuerst wird mit dem Projekt-Wizard ein neues Projekt erstellt. Vor dem Starten sollte unbedingt ein leeren Ordner

Mehr

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007 Klausur (9.02.2007): Technische Grundlagen der Informatik Digitale Systeme WS 2006/2007 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note:

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note: Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 95 min. Name nicht vergessen! Geben Sie alle Blätter ab. Die Reihenfolge der Aufgaben ist unabhängig vom Schwierigkeitsgrad. Erlaubte Hilfsmittel

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus igitales esign 2. Juni 25 ie Arbeitszeit beträgt,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben Sie

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben Aufgabe 1 -- Aufgabe 1 -- 8 zu 1 Decoder entity DECODER1X8 is port( S: in bit_vector(2 downto 0); Y: out bit_vector(7 downto 0)); end DECODER1X8;

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Ausarbeitung zum ETI Praktikum

Ausarbeitung zum ETI Praktikum Ausarbeitung zum ETI Praktikum Aufgabe 3.1 (VHDL) eingereicht an der Technischen Universität München Lehrstuhl X: Rechnertechnik und Rechnerorganisation Prof. A. Bode SS 2006 Betreuer: Dipl.-Inf. Daniel

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland Echtzeitbildverarbeitung mit FPGAs Feith Sensor to Image GmbH, Schongau Matthias Schaffland Feith Sensor to Image GmbH Gegründet 1989 als Bildverarbeitungs- Spezialist für kundenspezifische Komponenten

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

4 Entwurf eines Prozessorelementes

4 Entwurf eines Prozessorelementes 4 Entwurf eines Prozessorelementes In diesem Kapitel werden die Prinzipien des Entwurfs eines Prozessorelementes vorgestellt: Spezialprozessor, dessen Funktion unabhängig von einem Programm fest verdrahtet

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

VHDL-Einführung. Universität Hamburg MIN Department Informatik Eingebettete Systeme: VHDL-Einführung

VHDL-Einführung. Universität Hamburg MIN Department Informatik Eingebettete Systeme: VHDL-Einführung MIN Department Informatik VHDL-Einführung 1 MIN Department Informatik VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuit Entwicklung 1983 vom DoD initiiert 1987 IEEE Standard Überarbeitungen

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

auf differentiellen Leitungen

auf differentiellen Leitungen Eingebettete Taktübertragung auf differentiellen Leitungen Johannes Reichart Kleinheubacher Tagung Miltenberg, 28.09.2009 Institut für Prof. Elektrische Dr.-Ing. und Optische Manfred Nachrichtentechnik

Mehr

1 Hardwareentwurf. 1.1 Grundlagen

1 Hardwareentwurf. 1.1 Grundlagen 1 Hardwareentwurf 1.1 Grundlagen POSITIVE natürliche Zahlen N NATURAL N 0 INTEGER ganze Zahlen Z REAL reelle Zahlen R BOOLEAN (true, false), (low, high) BIT ( 0, 1 ) CHARACTER (..., A, B,..., a, b,...,

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Dipl.-Ing. (TU) Klaus-Eckart Schulz Birnbaumring Berlin Kempten, 24. Mai 2018

Dipl.-Ing. (TU) Klaus-Eckart Schulz Birnbaumring Berlin Kempten, 24. Mai 2018 Stefan Dumler Friedrichshafener Str. 1 87439 Kempten E-Mail: stdumler@web.de Dipl.-Ing. (TU) Klaus-Eckart Schulz Birnbaumring 64 13159 Berlin Kempten, 24. Mai 2018 Sehr geehrter Herr Schulz, das auf Ihrer

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 7.8.2 Die Bearbeitungsdauer beträgt für

Mehr

Klausuraufgaben: Flip-Flops

Klausuraufgaben: Flip-Flops Klausuraufgaben: Flip-Flops - Seite 1 Klausuraufgaben: Flip-Flops (1.) Nennen Sie 3 verschiedene Flip-Flops. (2.) Was bezeichnet man bei RS-Flip-Flop als den verbotenen Zustand? (3.) Zeichnen Sie ein RS

Mehr