Entwurf und Implementierung eines statischen Backbones für die Kommunikation mit dynamischen Nutzerpartitionen auf einem Multi-FPGA-Board

Größe: px
Ab Seite anzeigen:

Download "Entwurf und Implementierung eines statischen Backbones für die Kommunikation mit dynamischen Nutzerpartitionen auf einem Multi-FPGA-Board"

Transkript

1 Zwischenvortag zur Studienarbeit Entwurf und Implementierung eines statischen Backbones für die Kommunikation mit dynamischen Nutzerpartitionen auf einem Multi-FPGA-Board Albert Schulz Dresden, 1

2 Gliederung 1. Motivation 2. Zielarchitektur 3. Stand der Technik 4. Systemstruktur 5. Protokollentwurf 6. Aktueller Stand 7. Ausblick 2

3 1. Motivation Multi-FPGA Board mit großen FPGAs Optimale Auslastung mehrere User Designs (Nutzerpartitionen) pro FPGA Kommunikation zwischen Host und User Designs über einen gemeinsamen Bus Multiplexen mehrerer Datenströme erforderlich 3

4 2. Zielarchitektur DNK7 F5 PCIe-Board mit 5 Kintex-7 FPGAs 4x gfpga: User FPGAs 1x dfpga: Dataflow Manager Verbindung der FPGAs über Ringbus mit 46 GPIO Pins PCIe-Interface zu Host-Rechner (mittels pfpga) 4

5 2. Zielarchitektur Clock Generation Si598 Synth Si598 Synth OnSemi MC100EP 210S (2x1:5 buffer) F0-F4 User FPGAs High-Speed: DDR Low-Speed: DDR3-800 GPIO: 400Mbps High-Speed DDR3 (3 chip) Low-Speed DDR3 (2 chip) High-Speed DDR3 (3 chip) Low-Speed DDR3 (2 chip) User Clock from F1 OR Fixed 100MHz Clock from V6 Fixed 50MHz Clock from V6 Fan Header Temperature Sensors (3) EEPROM for Board Data OnSemi MC100EP 210S ICSLV810 Some Status LEDs Some Status LEDs F0-F4 User FPGAs All FPGAs I 2 C I 2 C to EEPROM PCIe Jitter Atten High-Speed DDR3 (3 chip) Kintex-7 XC7K325T FBG900 F0 GPIO (2 banks = 77 I/O + SS clock) V6 LX75T (PCIe controller) pfpga Low-Speed DDR3 (3 chip) GPIO (1 banks = 46 I/O + SS clock) GPIO (1 banks = 46 I/O + SS clock) Low-Speed DDR3 (2 chip) Kintex-7 XC7K325T FBG676 F1 Kintex-7 XC7K325T FBG676 F4 High-Speed DDR3 (3 chip) GPIO (1 banks = 46 I/O + SS clock) MB [5:0] GPIO (1 banks = 46 I/O + SS clock) Low-Speed DDR3 (2 chip) Kintex-7 XC7K325T FBG676 F2 GPIO (1 banks = 46 I/O + SS clock) Kintex-7 XC7K325T FBG676 F3 High-Speed DDR3 (3 chip) PCIe REFCLK PCIe x4 Gen-2 Interface DN0220_DNBFC_K7F5_PCIe Rev. 1 Functional Block Diagram Drawing Revision 3, Drawn Quelle: 5

6 3. Stand der Technik systolische Datenübertragung über Ringbus Eingangs- und Ausgangspuffer an Sender/Empfänger Flusskontrolle zwischen benachbarten Knoten über Put/Go-Signale 6

7 3. Stand der Technik Source-Synchronous-Schaltung differenzieller Takt (durch gfpga 1 erzeugt) 7

8 4. Systemstruktur - Überblick 2 getrennte Busse: 32-Bit Datenbus 8-Bit Kontrollbus für latenzarme Übermittlung von Status- und Kontrollinformationen 8

9 4. Systemstruktur - Datenfluss 9

10 4. Systemstruktur - Datenfluss 10

11 5. Protokollentwurf paketorientiertes Protokoll Nutzung der 2 Busse: Datenpakete über breiten Datenbus Pakete für Flusskontrolle, Interrupts und Statusinformationen über Kontrollbus Paketaustausch nur zwischen dfpga <> gfpgas (User Design) 11

12 5. Protokollentwurf - Datenpaketformat Frame-Format für Datenpakete: 32 Bit 8 Bit 8 Bit 12 Bit 4 Bit 0-16 KiByte Sentinel Zieladresse Quelladresse Datenlänge Pakettyp Daten Sentinel zur Erkennung eines gültigen Pakets notwendig, da ungültiger Zustand nach Bus-Start beobachtet 12

13 5. Protokollentwurf - Datenpaketformat Frame-Format für Datenpakete: 32 Bit 8 Bit 8 Bit 12 Bit 4 Bit 0-16 KiByte Sentinel Zieladresse Quelladresse Datenlänge Pakettyp Daten Adressformat = 3 Bit ChipID + 5 Bit User Design ID 3 Bit ChipID notwendig für 5 FPGAs (dfpga + 4 gfpgas) max. 32 User Designs pro gfpga 13

14 5. Protokollentwurf - Datenpaketformat Frame-Format für Datenpakete: 32 Bit 8 Bit 8 Bit 12 Bit 4 Bit 0-16 KiByte Sentinel Zieladresse Quelladresse Datenlänge Pakettyp Daten max. Paketgröße: 2 12 Worte + Header = ~16 KiByte 16 Pakettypen definierbar z.b. Daten für Anwendung, Konfigurationsdaten, Speicherinhalt Weiterleitung der Daten durch Switch zu FIFOs, ICAP Interface oder RAM 14

15 5. Protokollentwurf - Flusskontrolle Flusskontrolle zwischen dfpga und User Designs über Credit-Based Flow Control verlustfrei, kein wiederholtes Senden von Paketen aufgrund von Pufferüberlauf nötig 15

16 5. Protokollentwurf - Flusskontrolle Empfänger vergibt Credits, wenn N Einträge aus Puffer gelesen wurden (N = Halbe Puffergröße) geringere Belastung des Kontrollbusses 16

17 5. Protokollentwurf - Flusskontrolle: Szenario 17

18 5. Protokollentwurf - Flusskontrolle: Szenario 18

19 5. Protokollentwurf - Kontrollpakete Frame-Format für Kontrollpakete: 1 Byte 1 Byte 1 Byte 1 Byte 1-n Byte Sentinel Zieladresse Quelladresse Pakettyp Daten 256 mögliche Pakettypen für z.b. Credits für Flusskontrolle, Statusinformationen, Interrupts Datenlänge abhängig vom Pakettyp, z.b.: 1 Byte bei Credit-Paketen (Anzahl an Credits) 19

20 5. Protokollentwurf - Arbitrierung faire Buszuteilung mittels gewichtetem Round- Robin-Verfahren (3:1) Ringbus Ringbus 20

21 6. Aktueller Stand Protokoll im Wesentlichen spezifiziert VHDL-Testbench Implementierung des Datenpfades für 32-Bit Bus (TX, RX, Switch, FIFOs, MUX) funktionierender Datentransfer zwischen dfpga- Design und User Designs 21

22 7. Ausblick Flusskontrolle implementieren Implementierung der Arbitrierung Kommunikation zwischen Host-Rechner und dfpga Fehlererkennung/-korrektur (falls notwendig) funktionaler Test des Designs (simulativ & physisch) Performance Test Dokumentation (Entwurf, Realisierung, Testergebnisse) 22

23 Quellen Infrastucture Proposal for Sharing the DINI FPGA Cluster - Dr.-Ing. Thomas B. Preußer DNK7_F5_PCIe Hardware Manual (Link) - N. Harder (The DINI Group) Traffic Management for High-Speed Networks: Fourth Lecture International Science Lecture Series - H.T. Kung Queuing, Abruf

Entwurf und Implementierung eines statischen Backbones für die Kommunikation mit dynamischen Nutzerpartitionen auf einem Multi-FPGA-Board

Entwurf und Implementierung eines statischen Backbones für die Kommunikation mit dynamischen Nutzerpartitionen auf einem Multi-FPGA-Board Verteidigung Studienarbeit Entwurf und Implementierung eines statischen Backbones für die Kommunikation mit dynamischen Nutzerpartitionen auf einem Multi-FPGA-Board Albert Schulz Dresden, 20.10.2016 1

Mehr

Realisierung eines Speichermanagements zur Zugriffsvirtualisierung von konkurrierenden Nutzerdesigns auf Rekonfigurierbarer Hardware

Realisierung eines Speichermanagements zur Zugriffsvirtualisierung von konkurrierenden Nutzerdesigns auf Rekonfigurierbarer Hardware Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Realisierung eines Speichermanagements zur Zugriffsvirtualisierung von konkurrierenden

Mehr

Vortrag zur Diplomarbeit

Vortrag zur Diplomarbeit Fakultät Informatik Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Vortrag zur Diplomarbeit Entwurf und Implementierung eines zuverlässigen verbindungsorientierten Transportprotokolls für

Mehr

Energieeffizienz und Performance von Networks-on-Chip

Energieeffizienz und Performance von Networks-on-Chip Fakultät Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Energieeffizienz und Performance von Networks-on-Chip Marco Zulkowski Marco.Zulkowski@mailbox.tu-dresden.de Dresden,

Mehr

Der CAN-Bus (Controller Area Network)

Der CAN-Bus (Controller Area Network) Der CAN-Bus (Controller Area Network) Was ist das und wozu braucht man das? Jürgen Stuber 2012-10-03 Jürgen Stuber () Der CAN-Bus (Controller Area Network) 2012-10-03 1 / 15 Anwendungen KFz Jürgen Stuber

Mehr

T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series

T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series Inhalt 1. Einführung... 2 2. Anforderungen... 2 3. Komponenten... 3 3.1. PXI 7952R... 3 3.2. Fiber Optical Interface Module

Mehr

Remote-Objekt-Überwachung. von Jan Schäfer und Matthias Merk

Remote-Objekt-Überwachung. von Jan Schäfer und Matthias Merk Remote-Objekt-Überwachung von Jan Schäfer und Matthias Merk Aufgabenstellung Steuerung von Sensoren zur Remote Objektüberwachung mit einem µc PIC16F874. Welcher Sensor hat gemeldet? Die Überwachung Mehrere

Mehr

Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO

Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO Dipl.-Ing. (FH) Christoph Landmann, M.Sc. Regional Product Engineer Automated Test National Instruments Germany GmbH Agenda Was ist Peer-To-Peer

Mehr

Einfluss der Window Scale Option auf die Fairness in TCP/IP-Netzen

Einfluss der Window Scale Option auf die Fairness in TCP/IP-Netzen Einfluss der Window Scale Option auf die Fairness in TCP/IP-Netzen Torsten Müller, TU-Dresden, Institut für Nachrichtentechnik, Professur Telekommunikation Prof. Dr.-Ing. R. Lehnert Übersicht O Einleitung

Mehr

Der I²C-Bus. Bearbeitet von: Thomas Finke, EL5

Der I²C-Bus. Bearbeitet von: Thomas Finke, EL5 Der I²C-Bus Bearbeitet von: Thomas Finke, EL5 thomas.finke@gmx.de Inhaltsverzeichnis 1. Entstehungsgeschichte des I²C-Bus...3 2. Technik der I²C-Bus...3 2.1 Hardware-Struktur...3 2.2 Das I²C-Protokoll...4

Mehr

Echtzeit-Messdatenerfassung. über WLAN. Stephan Habegger Senior Design Engineer. Neratec AG

Echtzeit-Messdatenerfassung. über WLAN. Stephan Habegger Senior Design Engineer. Neratec AG Echtzeit-Messdatenerfassung über WLAN Stephan Habegger Senior Design Engineer Neratec AG AGENDA 1. Was macht Neratec? 2. WLAN basiertes Sensor Netzwerk 3. Problematik der Echtzeit bei WLAN 4. Latenz und

Mehr

Netzwerkperformance 2.0

Netzwerkperformance 2.0 Netzwerkperformance 2.0 Die KPI`s als Schlüsselfaktoren der Netzwerke Andreas Dobesch, Product Manager DataCenter Forum 2014, Trafo Baden ISATEL Electronic AG Hinterbergstrasse 9 CH 6330 Cham Tel. 041

Mehr

Busse. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009

Busse. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Busse Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Busse 1/40 2008-10-13 Übersicht 1 Einleitung 2 Bus-Konfiguration

Mehr

A20_PCI. ARCNET Controller Karte für PCI Bus. Gerätebeschreibung. 2003 TK Systemtechnik GmbH Nr. TK-04-037-F-1.2

A20_PCI. ARCNET Controller Karte für PCI Bus. Gerätebeschreibung. 2003 TK Systemtechnik GmbH Nr. TK-04-037-F-1.2 A20_PCI ARCNET Controller Karte für PCI Bus Gerätebeschreibung 2003 TK Systemtechnik GmbH Nr. TK-04-037-F-1.2 Angaben zur Version Dokument-Nr. Beschreibung Datum TK-04-037-F-1.0 Ausgabe 1 17.04.2001 TK-04-037-F-1.1

Mehr

Kommunikation zwischen Mikrocontrollern

Kommunikation zwischen Mikrocontrollern Kommunikation zwischen Mikrocontrollern Serielle Kommunikation Bitweises Übertragen der Daten nacheinander auf einer Leitung serielle Schnittstelle im PC und im Mikrocontroller = Standard große Anwendungsbreite

Mehr

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse Kapitel 11 Rechnerarchitektur 11.1 Der von-neumann-rechner Wir haben uns bisher mehr auf die logischen Bausteine konzentriert. Wir geben jetzt ein Rechnermodell an, das der physikalischen Wirklichkeit

Mehr

FCoE (Fibre Channel over Ethernet) Eine Lösung für konvergente Datencenter

FCoE (Fibre Channel over Ethernet) Eine Lösung für konvergente Datencenter FCoE (Fibre Channel over Ethernet) Eine Lösung für konvergente Datencenter Stand Heute (Getrennte LAN und SAN Infrastrukturen) SAN und LAN Infrastrukturen sind getrennt aufgebaut. Jeder Server hat NIC

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Self-aware Memory: Hardware-Prototyp eines Prozessorknotens

Self-aware Memory: Hardware-Prototyp eines Prozessorknotens Self-aware Memory: Hardware-Prototyp eines Prozessorknotens Robert Schelkle Universität Karlsruhe (TH) Institut für Technische Informatik (ITEC) Lehrstuhl für Rechnerarchitektur 24. März 2009 Robert Schelkle

Mehr

Rechnernetze I. Rechnernetze I. 1 Einführung SS Universität Siegen Tel.: 0271/ , Büro: H-B 8404

Rechnernetze I. Rechnernetze I. 1 Einführung SS Universität Siegen Tel.: 0271/ , Büro: H-B 8404 Rechnernetze I SS 2012 Universität Siegen rolanda.dwismuellera@duni-siegena.de Tel.: 0271/740-4050, Büro: H-B 8404 Stand: 20. April 2012 Betriebssysteme / verteilte Systeme Rechnernetze I (1/12) i Rechnernetze

Mehr

Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA. Implementierung eines UDP/IP-Stacks in Hardware.

Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA. Implementierung eines UDP/IP-Stacks in Hardware. Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA Implementierung eines -Stacks in Hardware Dresden, Gliederung 1. Aufgabenstellung 2. Überblick 1. Allgemein 2. MAC 3. IP

Mehr

Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA)

Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA) Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA) Rudolf Gierlinger National Instruments, Österreich AGENDA Teil 1: Advanced NI-DAQmx Datenerfassungsmöglichkeiten Konfiguration

Mehr

Modul A. Modul B. Bisheriger Ansatz für dynamisch und partiell rekonfigurierbare Systeme. Slot 0 Slot 1. Prozessor. Dynamischer Bereich

Modul A. Modul B. Bisheriger Ansatz für dynamisch und partiell rekonfigurierbare Systeme. Slot 0 Slot 1. Prozessor. Dynamischer Bereich DFG Mini Workshop Device Treiber für rekonfigurierbare Rechensysteme HW-ICAP API zur Anwendung der Read-, Modify-, Writeback-Methode für Xilinx Virtex-II FPGAs 8. 9. Dezember TU München Michael Hübner

Mehr

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788 CFG Steffen Ostendorff Zusebau, R2078, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 2. Januar 2012 Self-Organization 05 January 2012 1 Wiederholung CFG 2. Januar

Mehr

Foliensatz. Theorie und Einsatz von Verbindungseinrichtungen in parallelen Rechnersystemen

Foliensatz. Theorie und Einsatz von Verbindungseinrichtungen in parallelen Rechnersystemen Foliensatz Center for Information Services and High Performance Computing (ZIH) Theorie und Einsatz von Verbindungseinrichtungen in parallelen Rechnersystemen Hochgeschwindigkeitskommunikationen 13. Juli

Mehr

FlexPath - Flexible, Dynamisch Rekonfigurierbare Verarbeitungspfade in Netzwerkprozessoren

FlexPath - Flexible, Dynamisch Rekonfigurierbare Verarbeitungspfade in Netzwerkprozessoren FlexPath - Flexible, Dynamisch Rekonfigurierbare Verarbeitungspfade in Netzwerkprozessoren Dr. Thomas Wild Rainer Ohlendorf Michael Meitinger Prof. Dr. Andreas Herkersdorf Lehrstuhl für Theresienstr. 90

Mehr

Simulative Verifikation und Evaluation des Speichermanagements einer Multi-Core-Prozessorarchitektur am Beispiel von SHAP

Simulative Verifikation und Evaluation des Speichermanagements einer Multi-Core-Prozessorarchitektur am Beispiel von SHAP Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Simulative des Speichermanagements einer Multi-Core-Prozessorarchitektur am Beispiel

Mehr

Domain Name Service (DNS)

Domain Name Service (DNS) Domain Name Service (DNS) Aufgabe: den numerischen IP-Adressen werden symbolische Namen zugeordnet Beispiel: 194.94.127.196 = www.w-hs.de Spezielle Server (Name-Server, DNS) für Listen mit IP-Adressen

Mehr

Fakultät Informatik Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur. Diplomverteidigung

Fakultät Informatik Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur. Diplomverteidigung Fakultät Informatik Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Diplomverteidigung Entwurf und Implementierung eines zuverlässigen verbindungsorientierten Transportprotokolls für die

Mehr

Messsysteme für den SwissFEL

Messsysteme für den SwissFEL Messsysteme für den SwissFEL Signalauswertung mit Xilinx Virtex-5 FPGAs Embedded Computing Conference 2011 Christa Zimmerli Masterstudentin MSE Wissenschaftliche Assistentin christa.zimmerli@fhnw.ch Institut

Mehr

Eingebettete Taktübertragung auf Speicherbussen

Eingebettete Taktübertragung auf Speicherbussen Eingebettete Taktübertragung auf Speicherbussen Johannes Reichart Workshop Hochgeschwindigkeitsschnittstellen Stuttgart, 07.11.2008 Unterstützt durch: Qimonda AG, München Institut für Prof. Elektrische

Mehr

Test of 1 GBit/s Fiber optical communication

Test of 1 GBit/s Fiber optical communication Test of 1 GBit/s Fiber optical communication interfaces based on FlexRIO R-Series Lösungen von IRS für den Test von schnellen Kommunikationsschnittstellen im Bereich von 1 Gbit/s Michael Rost Dipl. Ing.

Mehr

Schichtenmodell der Internet Architektur

Schichtenmodell der Internet Architektur Schichtenmodell der Internet Architektur Applikation TCP UDP.... IP.... Netzwerk 76 Verbindungsmedien Verbindungskabel Material Durchsatzrate Kürzel Twisted Pair Cat 5 Kupfer - Mbps T/Tx 5 Coax (Thin Net)

Mehr

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Vortrag zum Beleg Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Michael Lange Dresden, Gliederung 1 Aufgabenstellung 2 Voraussetzungen 3 Aufbau eines

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

KNX Twisted Pair Protokollbeschreibung

KNX Twisted Pair Protokollbeschreibung KNX Twisted Pair Protokollbeschreibung Übersicht Dieses Dokument soll eine Übersicht über die Datenpaketstruktur des KNX Twisted-Pair (TP1-256) Standards geben. Es handelt sich um eine private Arbeit die

Mehr

DIPLOMARBEIT. Entwurf und Implementierung eines modularen USB-Stacks für eingebettete Controller ohne Betriebssystem. Uwe Pfeiffer

DIPLOMARBEIT. Entwurf und Implementierung eines modularen USB-Stacks für eingebettete Controller ohne Betriebssystem. Uwe Pfeiffer Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur DIPLOMARBEIT Entwurf und Implementierung eines modularen USB-Stacks für eingebettete

Mehr

TCP/IP-Protokollfamilie

TCP/IP-Protokollfamilie TCP/IP-Protokollfamilie Internet-Protokolle Mit den Internet-Protokollen kann man via LAN- oder WAN kommunizieren. Die bekanntesten Internet-Protokolle sind das Transmission Control Protokoll (TCP) und

Mehr

ENTWURF UND REALISIERUNG EINES SATA PHYSICAL LAYERS FÜR ALTERA STRATIX II GX FPGAS

ENTWURF UND REALISIERUNG EINES SATA PHYSICAL LAYERS FÜR ALTERA STRATIX II GX FPGAS Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur ENTWURF UND REALISIERUNG EINES SATA PHYSICAL LAYERS FÜR ALTERA STRATIX II GX FPGAS

Mehr

Rechnernetze I SS Universität Siegen Tel.: 0271/ , Büro: H-B Stand: 25.

Rechnernetze I SS Universität Siegen Tel.: 0271/ , Büro: H-B Stand: 25. Rechnernetze I SS 2012 Universität Siegen rolanda.dwismuellera@duni-siegena.de Tel.: 0271/740-4050, Büro: H-B 8404 Stand: 25. April 2014 Betriebssysteme / verteilte Systeme Rechnernetze I (1/12) i Rechnernetze

Mehr

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega Einleitung... 11 Die Pins alphabetisch.... 12 Kapitel 1 Programmierung des ATmega8 und des ATmega328.... 15 1.1 Was Sie auf den nächsten Seiten erwartet... 19 1.2 Was ist eine Micro Controller Unit (MCU)?....

Mehr

Rechnernetze I. Rechnernetze I. 1 Einführung SS 2014. Universität Siegen rolanda.dwismuellera@duni-siegena.de Tel.: 0271/740-4050, Büro: H-B 8404

Rechnernetze I. Rechnernetze I. 1 Einführung SS 2014. Universität Siegen rolanda.dwismuellera@duni-siegena.de Tel.: 0271/740-4050, Büro: H-B 8404 Rechnernetze I SS 2014 Universität Siegen rolanda.dwismuellera@duni-siegena.de Tel.: 0271/740-4050, Büro: H-B 8404 Stand: 9. Mai 2014 Betriebssysteme / verteilte Systeme Rechnernetze I (1/10) i Rechnernetze

Mehr

Hardware/Software-Entwicklung + Teststand für Libera Hadron. im Rahmen des Kollaborationstreffen am 27.11.2009

Hardware/Software-Entwicklung + Teststand für Libera Hadron. im Rahmen des Kollaborationstreffen am 27.11.2009 Hardware/Software-Entwicklung + Teststand für Libera Hadron im Rahmen des Kollaborationstreffen am 27.11.2009 1 Inhalt Vorstellung der zentralen Hardware BPM Extender 3000 (2. Prototyp) Hardwareentwicklung

Mehr

Grundlegende Steuer- und Verwaltungsfunktionen (ICMP)

Grundlegende Steuer- und Verwaltungsfunktionen (ICMP) Grundlegende Steuer- und Verwaltungsfunktionen (ICMP) Dr. Hannes P. Lubich Bank Julius Bär Zürich Einführung in TCP/IP Grundlegende Steuer- und Verwaltungsfunktionen (ICMP) (1) Einführung in ICMP Zur Steuerung

Mehr

Lichtwürfel. Ein Projekt in der Vertiefung Prozessdatenverarbeitung. Michael Frey mail at mfrey dot net. July 3, 2008

Lichtwürfel. Ein Projekt in der Vertiefung Prozessdatenverarbeitung. Michael Frey mail at mfrey dot net. July 3, 2008 Lichtwürfel Ein Projekt in der Vertiefung Prozessdatenverarbeitung Michael Frey mail at mfrey dot net July 3, 2008 Überblick Projektaufgabe Motivation Hardware SPI Arduino Ebenensteuerung Säulensteuerung

Mehr

ARP, ICMP, ping. Jörn Stuphorn Bielefeld, den 4. Mai Mai Universität Bielefeld Technische Fakultät

ARP, ICMP, ping. Jörn Stuphorn Bielefeld, den 4. Mai Mai Universität Bielefeld Technische Fakultät ARP, ICMP, ping Jörn Stuphorn stuphorn@rvs.uni-bielefeld.de Universität Bielefeld Technische Fakultät TCP/IP Data Link Layer Aufgabe: Zuverlässige Übertragung von Rahmen über Verbindung Funktionen: Synchronisation,

Mehr

Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations- und Verarbeitungsalgorithmen

Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations- und Verarbeitungsalgorithmen Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations-

Mehr

Embedded Touch Panel PC OTP/57V

Embedded Touch Panel PC OTP/57V Embedded Touch Panel PC OTP/57V 19 / 3HE Operator Touch Panel System 5.7 VGA LCD, Touch Screen für X86: Linux / Java oder ARM: Web Applications Beschreibung Kompakter Touch Panel PC für moderne HMI Anwendungen

Mehr

Computeranwendung in der Chemie Informatik für Chemiker(innen) 4. Netzwerke

Computeranwendung in der Chemie Informatik für Chemiker(innen) 4. Netzwerke Computeranwendung in der Chemie Informatik für Chemiker(innen) 4. Netzwerke Jens Döbler 2003 "Computer in der Chemie", WS 2003-04, Humboldt-Universität VL4 Folie 1 Grundlagen Netzwerke dienen dem Datenaustausch

Mehr

Universelle Speicherschnittstelle für große externe Speicher

Universelle Speicherschnittstelle für große externe Speicher Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Universelle Speicherschnittstelle für große Marco Zulkowski Marco.Zulkowski@mailbox.tu-dresden.de

Mehr

Hardware PCI, PCIe und Bus-Bridges

Hardware PCI, PCIe und Bus-Bridges Hardware PCI, PCIe und Bus-Bridges Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware PCI, PCIe und Bus-Bridges

Mehr

I2C-BUS Von Ramesh Sathiyamoorthy Klasse E4p Embedded Control Hr.Felser HTI Burgdorf

I2C-BUS Von Ramesh Sathiyamoorthy Klasse E4p Embedded Control Hr.Felser HTI Burgdorf I2C-BUS Von Ramesh Sathiyamoorthy Klasse E4p Embedded Control Hr.Felser HTI Burgdorf Inhaltverzeichnis Inhaltverzeichnis... 2 1.History... 3 2.Einsatzbereich... 4 3.Funktionweise... 5 3.1 Das I2C-Busprotokoll...

Mehr

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Mentor User Conference 2004 - Würzburg Michael Pichler, m.pichler@zma.ch Dino Zardet, d.zardet@zma.ch Prof. Karl Schenk, k.schenk@zma.ch

Mehr

Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten

Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten E. Zenker 9. November 2011 1 / 28 Gliederung 1. Field Programmable Gate Array - FPGA 2. Satisfiability Testing

Mehr

High Performance Datenerfassung Tests am Beispiel WEGA

High Performance Datenerfassung Tests am Beispiel WEGA High Performance Datenerfassung am Beispiel WEGA Langmuir 5. Mai 2010 High Performance Datenerfassung am Beispiel WEGA Hardware Timing Netzwerk Hardware zwei identische Systeme bestehend aus Controller

Mehr

PCI VME Interface SIS1100/SIS3100

PCI VME Interface SIS1100/SIS3100 PCI VME Interface SIS1100/SIS3100 Peter Wüstner Forschungszentrum Jülich, Zentrallobor für Elektronik (ZEL) Designprinzip der im ZEL entwickelten Datenaufnahmesysteme ist es, preiswerte PC Technik mit

Mehr

Das J1939 Protokoll. Überblick und Ausblick

Das J1939 Protokoll. Überblick und Ausblick Das J1939 Protokoll Überblick und Ausblick V0.02 2008-02-25 Agenda > Übersicht J1939 Protokoll Ausblick Slide: 2 Warum J1939? Einheitliche Anwendungen (plug-and-play) Kommunikation der elektrischen Steuergeräte

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

Gliederung. Integrated Service Architecture (ISA) RSVP-Überblick Reservation Styles RSVP-Nachrichten. RN II Kap. 5.

Gliederung. Integrated Service Architecture (ISA) RSVP-Überblick Reservation Styles RSVP-Nachrichten. RN II Kap. 5. Internet Protokolle für Multimedia - Anwendungen Kapitel 5.3 IntServ / RSVP 1 Gliederung Integrated Service Architecture (ISA) RSVP-Überblick Reservation Styles RSVP-Nachrichten 2 Integrated Service Architecture

Mehr

Slow Control System SCS3000 / Midas Slow Control Bus (MSCB)

Slow Control System SCS3000 / Midas Slow Control Bus (MSCB) WIR SCHAFFEN WISSEN HEUTE FÜR MORGEN Lukas Künzi :: Entwicklungsingenieur:: Paul Scherrer Institut Slow Control System SCS3000 / Midas Slow Control Bus (MSCB) Freitag, 4. März 2016 Inhalt Übersicht MSCB

Mehr

Handbuch. S/ATA PCI Card 1+1. deutsch.

Handbuch. S/ATA PCI Card 1+1. deutsch. Handbuch S/ATA PCI Card 1+1 deutsch Handbuch_Seite 2 Inhalt 1. Einleitung, Eigenschaften, Lieferumfang 3 2. Software Installation 4 Änderungen des Handbuchs bleiben vorbehalten, auch ohne vorherige Ankündigung.

Mehr

CoreSight-Zugang auf dem ZedBoard. Alex Bereza

CoreSight-Zugang auf dem ZedBoard. Alex Bereza Alex Bereza Dresden, Gliederung 1. Aufgabenstellung 2. Das 3. CoreSight 4. Trace-Pakete 5. Implementierung des IP-Cores 6. Fazit 7. Quellen Folie Nr. 2 von 26 1. Aufgabenstellung ARM-Prozessor des verfügt

Mehr

Hauptdiplomklausur Informatik Januar 2007: Computer Networks

Hauptdiplomklausur Informatik Januar 2007: Computer Networks Universität Mannheim Fakultät für Mathematik und Informatik Lehrstuhl für Praktische Informatik IV Prof. Dr.-Ing. W. Effelsberg Hauptdiplomklausur Informatik Januar 2007: Computer Networks Name: Matrikel-Nr.:

Mehr

Raspberry Pi Rezepte Teil 5

Raspberry Pi Rezepte Teil 5 Raspberry Pi Rezepte Teil 5 I²C: Zwischen zwei ICs Von Tony Dixon (UK) In den letzten beiden Folgen von Elektor.POST ging es um die seriellen Schnittstellen UART und SPI auf dem Expansion Header von RPi.

Mehr

Grundlagen der Rechnerarchitektur. Ein und Ausgabe

Grundlagen der Rechnerarchitektur. Ein und Ausgabe Grundlagen der Rechnerarchitektur Ein und Ausgabe Übersicht Grundbegriffe Hard Disks und Flash RAM Zugriff auf IO Geräte RAID Systeme SS 2012 Grundlagen der Rechnerarchitektur Ein und Ausgabe 2 Grundbegriffe

Mehr

Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden

Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden Benötigte Hardware: - CBX 800 - BM3x0 Profibus Interface-Modul - Handscanner + Kabel CAB 509/512 1. Das HHR Gerät als RS232

Mehr

Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur USB 3.0. Hauptseminar Technische Informatik. Bastian Lindner

Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur USB 3.0. Hauptseminar Technische Informatik. Bastian Lindner Fakultät Informatik Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur USB 3.0 Hauptseminar Technische Informatik Bastian Lindner Dresden, 3.12.2008 Gliederung 1. Einleitung 2. Geschichte 3.

Mehr

Vorläufige Dokumentation

Vorläufige Dokumentation PicoMOD1 Hardware Version 1.00 Datum 27.04.2006 by F & S Elektronik Systeme GmbH 2006 Vorläufige Dokumentation F & S Elektronik Systeme GmbH Untere Waldplätze 23 D-70569 Stuttgart Tel.: 0711/6772240 Fax:

Mehr

Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme. Kolloquium zum Mastermodul INF-PM-FPG

Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme. Kolloquium zum Mastermodul INF-PM-FPG Fakultät Informatik, Inst. für Tech. Informatik, Prof. für VLSI-Entwurfssysteme, Diagnostik und Architektur Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme Kolloquium zum Mastermodul

Mehr

Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext

Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext Till Fischer 03.11.2011 FZI Forschungszentrum Informatik Embedded Systems & Sensors Engineering (ESS)

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines

Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines Verteidigungsvortrag zur Studienarbeit Ludger Irsig Fraunhofer IIS/EAS Digitale Kameras allgemein

Mehr

EyeCheck Smart Cameras

EyeCheck Smart Cameras EyeCheck Smart Cameras 2 3 EyeCheck 9xx & 1xxx Serie Technische Daten Speicher: DDR RAM 128 MB FLASH 128 MB Schnittstellen: Ethernet (LAN) RS422, RS232 (nicht EC900, EC910, EC1000, EC1010) EtherNet / IP

Mehr

Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520

Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520 Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520 Das Linux Control System ist eine typische Anwendung für das Linux Control Modul DIL/NetPC DNP/7520. Die Abbildung 1 zeigt die Blockschaltung.

Mehr

Entwicklung eines intelligenten FlexRay-Sternkopplers Paul Milbredt, AUDI AG, 11.05.2010, TU Darmstadt

Entwicklung eines intelligenten FlexRay-Sternkopplers Paul Milbredt, AUDI AG, 11.05.2010, TU Darmstadt Entwicklung eines intelligenten FlexRay-Sternkopplers Paul Milbredt, AUDI AG, 11052010, TU Darmstadt Gliederung Elektronikentwicklung bei Audi Grundlagen Ethernet als Vergleich FlexRay Konzept eines intelligenten

Mehr

IP Internet Protokoll

IP Internet Protokoll IP Internet Protokoll Adressierung und Routing fürs Internet von Stephan Senn Inhalt Orientierung: Die Netzwerkschicht (1min) Aufgabe des Internet Protokolls (1min) Header eines Datenpakets (1min) Fragmentierung

Mehr

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1 Einführung Zusatzfolien A Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 19 November 2009 1 Empfehlungen für die Verzeichnisstruktur Unterverzeichnisse für Projekte doc

Mehr

Das Internet-Protocol. Aufteilung von Octets. IP-Adressformat. Class-A Netzwerke. Konventionen für Hostadressen

Das Internet-Protocol. Aufteilung von Octets. IP-Adressformat. Class-A Netzwerke. Konventionen für Hostadressen Das Internet-Protocol Das Internet Protocol (IP) geht auf das Jahr 1974 zurück und ist die Basis zur Vernetzung von Millionen Computern und Geräten weltweit. Bekannte Protokolle auf dem Internet Protokoll

Mehr

EX-1361 / EX-1361IS EX-1362 / EX-1362IS. 1S PCMCIA Karte Standard und Surge Protection & Optical Isolation

EX-1361 / EX-1361IS EX-1362 / EX-1362IS. 1S PCMCIA Karte Standard und Surge Protection & Optical Isolation Bedienungsanleitung EX-1361 / EX-1361IS 1S PCMCIA Karte Standard und Surge Protection & Optical Isolation EX-1362 / EX-1362IS 2S PCMCIA Karte Standard und Surge Protection & Optical Isolation Version 1.3

Mehr

HORUS. Seminar "Ausgewählte Themen in Hardwareentwurf und Optik" im HWS Martin Scherer

HORUS. Seminar Ausgewählte Themen in Hardwareentwurf und Optik im HWS Martin Scherer HORUS Seminar "Ausgewählte Themen in Hardwareentwurf und Optik" im HWS 2006 Martin Scherer Horus Inhalt 1 Einführung 2 Cachekohärenz 3 ExtendiScale Architektur 4 Übertragungsbeispiele 5 Performance Erweiterungen

Mehr

COOL HASHING MIT FPGAS. Robert Bachran

COOL HASHING MIT FPGAS. Robert Bachran COOL HASHING MIT FPGAS Robert Bachran Dresden, 16.1.2012 Einführung Grundlagen Kriterien für gute Hashverfahren Grundlagen FPGAs Hashverfahren auf FPGAs Skein auf FPGA Evolutionäre Hashverfahren Energiesparendes

Mehr

Technologietag Baugruppentest

Technologietag Baugruppentest Technologietag Baugruppentest Bit Error Rate Test (BERT) durch FPGA Embedded Instruments Thomas Wenzel, GÖPEL electronic GmbH Inhalte der Präsentation 1 2 3 Theorie des Bit Error Rate Test ChipVORX Lösung

Mehr

DALI SCI RS232. Datenblatt. DALI RS232 Interface. Schnittstelle zur Kommunikation zwischen PC (oder einer SPS) und Modulen in einem DALI-Lichtsystem

DALI SCI RS232. Datenblatt. DALI RS232 Interface. Schnittstelle zur Kommunikation zwischen PC (oder einer SPS) und Modulen in einem DALI-Lichtsystem DALI SCI RS232 Datenblatt DALI RS232 Interface Schnittstelle zur Kommunikation zwischen PC (oder einer SPS) und Modulen in einem DALI-Lichtsystem Art. Nr. 22176438-HS ersetzt: Art. Nr. 86458525 (DIN-Rail)

Mehr

SAN - Storage Area Network

SAN - Storage Area Network SAN - Storage Area Network Technologie, Konzepte und Einsatz komplexer Speicherumgebungen von Björn Robbe 2., aktualisierte und erweiterte Auflage SAN - Storage Area Network Robbe schnell und portofrei

Mehr

Manchester Codierung sowie Differenzielle Manchester Codierung

Manchester Codierung sowie Differenzielle Manchester Codierung Manchester Codierung sowie Differenzielle Manchester Codierung Nadine Sass 1 von 8 Inhaltsverzeichnis Inhaltsverzeichnis... 2 Abbildungsverzeichnis... 3 Das Ethernet... 4 Das IEEE 802.3 Ethernet Paketformat...

Mehr

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI)

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI) Serielle Kommunikation mit dem Arduino Teil 1: Das Serial Peripheral Interface (SPI) Axel Attraktor e.v. 4. Juni 2012 Axel (Attraktor e.v.) 5. Arduino-Stammtisch 4. Juni 2012 1 / 25 Serielle Kommunikation

Mehr

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher Sascha Kath Dresden, Gliederung 1. Aufgabenstellung 2. HLS-Systeme 1. LegUP 2. Vivado HLS 3. Leap

Mehr

Bluetooth Low Energy gleichzeitige Verbindungen zu mehreren Knoten

Bluetooth Low Energy gleichzeitige Verbindungen zu mehreren Knoten Bluetooth Low Energy gleichzeitige Verbindungen zu mehreren Knoten Andreas Müller, Mirco Gysin, Andreas Rüst Zürcher Hochschule für Angewandte Wissenschaften Institut für Embedded Systems Winterthur Kontakt:

Mehr

Hypertransport. Parallele Rechnerarchitekturen. Patrice Weisemann

Hypertransport. Parallele Rechnerarchitekturen. Patrice Weisemann Hypertransport Parallele Rechnerarchitekturen Patrice Weisemann Gliederung Meilensteine der Hypertransport-Entwicklung Motivation & Ziele Technischer Überblick Anwendungsgebiete Hypertransport vs. Infiniband

Mehr

Positive/Negative Logik

Positive/Negative Logik Positive/Negative Logik Positive Logik Negative Logik Dominant = höherwertig Rezessiv = niederwertig In der Spezifikation ist für den CAN-Datenbus die negative Logik festgelegt worden! Schaltung... Negative

Mehr

I 2 C. ANALYTICA GmbH

I 2 C. ANALYTICA GmbH HANDBUCH ANAGATE I 2 C ANALYTICA GmbH Vorholzstraße 36 Tel. +49 721 35043-0 email: info@analytica-gmbh.de D-76137 Karlsruhe Fax: +49 721 35043-20 WWW: http://www.analytica-gmbh.de 1 2004, Analytica GmbH,

Mehr

Vernetzte Systeme Network Layer Vermittlungsschicht Schicht 3 Netzwerk Schicht

Vernetzte Systeme Network Layer Vermittlungsschicht Schicht 3 Netzwerk Schicht Network Layer Vermittlungsschicht Schicht 3 Netzwerk Schicht Vorüberlegungen: Die Aufgabe der Netzwerkschicht ist die Wegefindung (Routing). OSI- Schichtenmodell. Exemplarisch wollen wir dies mit Hilfe

Mehr

Entwicklung mit Xilinx-FPGAs

Entwicklung mit Xilinx-FPGAs Entwicklung mit Xilinx-FPGAs FZJ - ZEL - Abteilung für Experimentsysteme und Kommunikationstechnik (Harald Kleines) Motivation Technologie Entwicklungsprozeß DAQ in der Hadronenphysik Projekte im FZJ mit

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Low Cost PCI Digital-Scope

Low Cost PCI Digital-Scope Low Cost PCI Digital-Scope Linux Driver Projekt Hompage: Autoren: e-mail: Dozent: http://code.google.com/p/lcpd-scope/ T.Kurmann, R. Woodtli, S. Eichenberger kurmt1@bfh.ch, woodr1@bfh.ch, eichs2@bfh.ch

Mehr