Eingebettete Systeme

Größe: px
Ab Seite anzeigen:

Download "Eingebettete Systeme"

Transkript

1 Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1

2 Schaltfunktionen! Schaltfunktion: f: {0,1} n {0,1} m! (n-stellige) Boolesche Funktion: f: {0,1} n {0,1}! Eine Schaltfunktion entspricht m Booleschen Funktionen! Eine Boolesche Funktion kann (unter anderem) ausgedrückt werden durch! einen Booleschen Ausdruck! eine Wahrheitstafel A B A NAND B A NAND B: A B

3 Darstellungen! Alle zweistelligen Booleschen Funktionen können mit Hilfe von! NOT, AND, und OR, oder! NOT und OR, oder! NOT und AND, oder! NAND, oder! NOR! dargestellt werden. 3

4 Binäre Addition! Addition von zwei Binärzahlen x = xn-1... x1x0 und y = yn-1... y1y0! Ziffernweise Addition, so daß in jedem Schritt eine Ziffer si der Summe s = sn-1... s1s0 entsteht.! Dabei werden die in jedem Schritt enstehenden Überträge bei der Addition des nächsten Paares berücksichtigt 4

5 Halbaddierer! Addition zweier bits x0,y0! Summenziffer s0=1 entsteht genau dann wenn entweder x0=1 oder y0=1 s0 = x0 XOR y0! Übertrag u1=1 entsteht genau dann wenn x0=1 und y0=1 u1 = x0 y0 5

6 Volladdierer! Summenziffer si=1 entsteht genau dann wenn entweder (entweder xi=1 oder yi=1) oder ui=1 si = xi XOR yi XOR ui! Übertrag ui+1=1 entsteht genau dann wenn (xi=1 und yi=1) oder ((xi=1 oder yi=1) und ui=1) ui+1 = (xi yi) + ((xi + yi) ui) 6

7 Volladdierer! si = xi XOR yi XOR ui! ui+1 = (xi yi) + ((xi + yi) ui) x i y i u i x i y i u i a u i+1 b s i [H. Liebig: Logischer Entwurf digitaler Systeme, 2006] 7

8 Ausgezeichnete Terme! Produktterm Konjunktion mehrerer (möglicherweise negierter) Variablen z.b. A B C! Summenterm Disjunktion mehrerer (möglicherweise negierter) Variablen z.b. A + B + C 8

9 Ausgezeichnete Terme! Minterm: Produktterm in dem jede Variable genau einmal vorkommt (einfach oder negiert)! Maxterm: Summenterm in dem jede Variable genau einmal vorkommt (einfach oder negiert) 9

10 Normalformen! Disjunktive Normalform (DNF) Disjunktion von Produkttermen! Konjunktive Normalform (KNF) Konjunktion von Summentermen! Kanonische Disjunktive Normalform (KDNF) Eindeutige Darstellung einer Booleschen Funktion als Disjunktion von Mintermen! Kanonische Konjunktive Normalform (KKNF) Eindeutige Darstellung einer Booleschen Funktion als Konjunktion von Maxtermen 10

11 Schaltnetze! Ein Schaltnetz ist eine schaltungstechnische Realisierung einer Schaltfunktion f: {0,1} n {0,1} m! f ist zerlegbar in m Boolesche Funktionen mit den gleichen n Eingangsvariablen f1(x1,...,xn), f2(x1,...,xn),..., fm(x1,...,xn) x1 x2... xn f1(x1,...,xn) f2(x1,...,xn)... fm(x1,...,xn)! Ein Schaltnetz heißt auch kombinatorische Logik. 11

12 Schaltnetze! Schaltnetze können einstufig (eine Gatterebene), zweistufig, oder mehrstufig sein! Aus der Darstellung als kanonische Normalform folgt dass jede Schaltfunktion durch ein zweistufiges Netz realisierbar ist. 12

13 Karnaugh-Veitch-Diagramme! Grafische Darstellung einer Booleschen Funktion! Ziel: Vereinfachung (kleine Realisierung)! Gesucht: Minimaler logischer Ausdruck! Nützlich bei bis zu vier Eingangsvariablen 13

14 Karnaugh-Veitch-Diagramme Beispiel: y = x 2 x 0 x 1 y : x

15 Primimplikanten! Primimplikant (auch: Primterm): Konjunktionsterm minimaler Länge (minimaler Variablenanzahl)! Implizieren die Ursprungsfunktion! So groß wie möglich gewählte Blöcke von Einsen im KV Diagramm! Beispiel: x 0 x 1 x 2 _ x 0 x 1 x 2 _ x 0 x 1 x 2 _ x 0 x 1 x 2! Primterme: x 0 x 1 x 1 x 2 x 0 x 1 x 0 x 2 x

16 Essentielle Primterme! Essentielle Primimplikanten: Primimplikanten die mindestens eine Eins enthalten, die sonst von keinem anderen Block abgedeckt ist! Redundante Primimplikanten: markieren nur von essentiellen Primimplikanten bereits abgedeckte Einsen! Minimale Lösungen enthalten zumindest die essentiellen Primimplikanten, keine redundanten Primimplikanten, und ggf. eine Teilmenge der nicht-essentiellen und nichtredundanten Primimplikanten.! Beispiel: x 0 x 1 Essentiell: x 1 x 2 x 0 x Redundant: x 0 x 2 x

17 Karnaugh-Veitch-Diagramme! Ein Diagramm pro Ausgabevariable! Beispiel: 7-Segmentanzeige y 2 X = x 3 x 2 x 1 x 0 y 5 y 0 y 3? y 6 y 1 a y 4 b Y = y 6 y 5 y 4 y 3 y 2 y 1 y 0 [H. Liebig: Logischer Entwurf digitaler Systeme, 2006] 17

18 9. Synchrone Schaltwerke Ziel:! Entwurf getakteter Schaltwerke

19 Rückgekoppelte Schaltnetze! Schaltnetz vs. Schaltwerk Digitale Schaltung Schaltnetz (kombinatorisch) Schaltwerk Asynchron Synchron (sequentiell) 19

20 Synchrone vs. Asynchrone Schaltwerke! Synchrone Schaltwerke! getaktet: Änderungen der internen Zustände nur zu bestimmten Zeitpunkten zugelassen! Verzögerung für alle Rückkopplungspfade gleich (Taktperiode)! Asynchrone Schaltwerke! unterschiedliche Laufzeiten in verschiedenen Rückkopplungspfaden, Race-Probleme! Vorteil: Geschwindigkeit, Energieverbrauch! Nachteil: aufwändiger zu entwerfen! komplexe Funktionen in der Regel synchron realisiert! im Folgenden: synchrone Schaltwerke (darin aber z.b. Flipflops die intern asynchron arbeiten!) 20

21 Set-Reset Flipflop! Asynchron, pegelgesteuert S R Operation (Q ) R Q 0 0 Zustand halten 1 0 Q setzen S Q 0 1 Q zurücksetzen 1 1 undefiniert 21

22 Set-Reset Flipflop! Asynchron, pegelgesteuert S R Operation (Q ) R Q 0 0 Zustand halten S Q! {S=1,R=0}{S=0,R=0} Q setzen R Q 0 1 Q zurücksetzen S Q 1 1 undefiniert! {S=1,R=0}{S=0,R=0}{S=0,R=1}{S=0,R=0}... 22

23 RS-Flipflop mit Taktpegel! Taktgesteuert, transparent! Operation wie SR-Latch, wenn E aktiviert ist R Q E S Q 23

24 D-Flipflop D Q! Taktflankengesteuert, rückkoppelungsfähig! steigende Flanke: D wird eingelesen! fallende Flanke: D wird abgespeichert Q 24

25 Schieberegister SRG4! In Reihe geschaltete D-Flipflops! Umwandlung seriell/parallel Dser D Qser 25

26 Beispiel: Sequentieller Addierer! Aufwand: 1 Addierer + 1 Flipflop als Carry clk clk a 3 a 2 a 1 a 0 Schieberegister Schieberegister x i y i u i x i y i u i a u s Addierer i+1 b i clk Schieberegister s 3 s 2 s 1 s 0 b 3 b 2 b 1 b 0 clk Flipflop c 26

27 Schaltwerke als Realisierung von Automaten clk x 0 x 1 y 0 Übergangs- Schaltnetz y 1 clk r 0 r 1 Zustandsregister 27

28 Vom Automat zum Schaltwerk x/y x/y x/y x/y x/y x/y x/y s 0 s 1 s 2 s 3 x/y x/y x/y x/y x/y s 0 00 r 2 r 1 x/y x/y x/y s 1 s s 3 11 x/y 28

29 Vom Automat zum Schaltwerk x/y x/y x/y x/y s 0 00 x/y x/y x/y s 1 s s 3 11 x/y r 1 r 2 = r 2 r 1 x _ r 2 r 1 x = r 2 r 1 x _ r 2 r 1 x y = r 2 r 1 x 29

30 KV-Diagramme r 1 = r 2 r 1 x _ r 2 r 1 x r 1 r 2 r 1 : r 1 = xr 1 x

31 Schaltwerk y = r 2 r 1 x r 1 = xr 1 r 2 = r 2 r 1 x _ r 2 r 1 x 31

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

A.1 Schaltfunktionen und Schaltnetze

A.1 Schaltfunktionen und Schaltnetze Schaltfunktionen und Schaltnetze A. Schaltfunktionen und Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Bedeutung des Binärsystems für den Rechneraufbau Seit Beginn der Entwicklung von Computerhardware

Mehr

Formelsammlung. Wahrscheinlichkeit und Information

Formelsammlung. Wahrscheinlichkeit und Information Formelsammlung Wahrscheinlichkeit und Information Ein Ereignis x trete mit der Wahrscheinlichkeit p(x) auf, dann ist das Auftreten dieses Ereignisses verbunden mit der Information I( x): mit log 2 (z)

Mehr

Kombinatorische Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Kombinatorische Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Kombinatorische Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Überblick Analog- und Digitaltechnik Boolesche Algebra Schaltfunktionen Gatter Normalformen

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Kombinatorische Schaltwerke

Kombinatorische Schaltwerke Informationstechnisches Gymnasium Leutkirch Kombinatorische Schaltwerke Informationstechnik (IT) Gemäß Bildungsplan für das berufliche Gymnasium der dreijährigen Aufbauform an der Geschwister-Scholl-Schule

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Schaltalgebra - logische Schaltungen

Schaltalgebra - logische Schaltungen Schaltalgebra - logische Schaltungen Bakkalaureatsarbeit im Rahmen des Mathematischen Seminars unter Leitung von Wolfgang Schmid eingereicht von Verena Horak Salzburg, Sommersemester 2003 Inhaltsverzeichnis

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Digitaltechnik. Basierend auf den CDT1-Unterlagen des CDT Teams. Zusammengefasst durch Simon Flüeli

Digitaltechnik. Basierend auf den CDT1-Unterlagen des CDT Teams. Zusammengefasst durch Simon Flüeli Digitaltechnik Basierend auf den CDT1-Unterlagen des CDT Teams Zusammengefasst durch Autor E-Mail fluelsim@students.zhaw.ch Datum 05.04.2011 Fach C und Digitaltechnik (CDT1) Originalunterlagen https://olat.zhaw.ch/olat/auth/1%3a-

Mehr

Basisinformationstechnologie I

Basisinformationstechnologie I Basisinformationstechnologie I Wintersemester 2012/13 28. November 2012 Rechnertechnologie III Universität zu Köln. Historisch-Kulturwissenschaftliche Informationsverarbeitung Jan G. Wieners // jan.wieners@uni-koeln.de

Mehr

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital Digitaltechnik DT1 - Zusammenfassung (v2.0 / Januar 2013) Seite 1 von 8 1 Digital vs. Analog 1.1 Analog Die reale Welt ist analog (z.b. Sinnesorgane) Die Analoge Verarbeitung stellt das Ergebnis einer

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

Kombinatorische Schaltungen. Sequentielle Schaltungen

Kombinatorische Schaltungen. Sequentielle Schaltungen Kombinatorische Schaltungen. Grundlagen 2. Statische und dynamische Parameter 3. Kombinatorische Standardschaltungen 4. Technische Realisierungsprinzipien Sequentielle Schaltungen 5. Grundlagen sequentieller

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

Kapitel 3: Boolesche Algebra

Kapitel 3: Boolesche Algebra Inhalt: 3.1 Grundlegende Operationen und Gesetze 3.2 Boolesche Funktionen u. u. ihre Normalformen 3.3 Vereinfachen von booleschen Ausdrücken 3.4 Logische Schaltungen 3.1 Grundlegende Operationen und Gesetze

Mehr

Zusammenfassung. Satz. 1 Seien F, G Boolesche Ausdrücke (in den Variablen x 1,..., x n ) 2 Seien f : B n B, g : B n B ihre Booleschen Funktionen

Zusammenfassung. Satz. 1 Seien F, G Boolesche Ausdrücke (in den Variablen x 1,..., x n ) 2 Seien f : B n B, g : B n B ihre Booleschen Funktionen Zusammenfassung Zusammenfassung der letzten LV Einführung in die Theoretische Informatik Woche 6 Harald Zankl Institut für Informatik @ UIBK Wintersemester 2014/2015 Satz 1 Seien F, G Boolesche Ausdrücke

Mehr

3. Steuerungstechnik Teil I

3. Steuerungstechnik Teil I 3. Steuerungstechnik Teil I 3.. Boolsche Algebra und Schaltalgebra Die Berechnung logischer Verknüpfungen in binären Steuerungssystemen hat als Grundlage die Boolsche Algebra bzw. die auf Schaltsystemen

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr. Jürgen Reichardt, Oldenbourg Verlag München Inhaltsverzeichnis Vorwort V 1 Einleitung 1 1.1 Die Hardwarebeschreibungssprache VHDL 3 1.2 Digitale

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlagen der Informatik Teil III Boolesche Algebra, Signalarten, Elektronische Bauteile Seite 1 Boolesche Algebra George Boole => englischer Mathematiker Mitte 19. Jahrhundert Formale Sicht digitaler

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Technische Informatik 1 Übungsaufgaben und Lösungen WS 2002/2003

Technische Informatik 1 Übungsaufgaben und Lösungen WS 2002/2003 Technische Informatik 1 Übungsaufgaben und Lösungen WS 2002/2003 22. Oktober 2003 Bemerkungen zur Lösung Folgende Konventionen wurden für die Lösung getroffen: In Schaltfunktionen wird folgende Notation

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung... 1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Inhaltsverzeichnis. Inhalt. 1 Einleitung

Inhaltsverzeichnis. Inhalt. 1 Einleitung Inhalt 3 Inhaltsverzeichnis 1 Einleitung 1.1 Digitale und analoge Signale... 9 1.2 Digitale Darstellung... 12 1.3 Datenübertragung... 14 1.4 Aufgaben digitaler Schaltungen... 17 1.5 Geschichte der Digitalrechner...

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Einführung in Informatik 1

Einführung in Informatik 1 Einführung in Informatik Prof. Dr.-Ing. Andreas Penningsfeld Zahlensysteme Allgemein: Zahl b := zn * bn +... + z * b + z ( ) * b (-) +... + z (-m) * b (-m) ; zi: Koeffizienten b: Basis Dezimalsystem Dualsystem

Mehr

5. Aussagenlogik und Schaltalgebra

5. Aussagenlogik und Schaltalgebra 5. Aussagenlogik und Schaltalgebra Aussageformen und Aussagenlogik Boolesche Terme und Boolesche Funktionen Boolesche Algebra Schaltalgebra Schaltnetze und Schaltwerke R. Der 1 Aussagen Information oft

Mehr

Repetitorium GdRA - WiSe12/13

Repetitorium GdRA - WiSe12/13 Repetitorium GdRA - WiSe12/13 Patrick Weggler February 2013 Institut fu r Eingebette Systeme und Echtzeitsysteme Proudly presented by Fachschaft Informatik in whatever with SK Informatik Seite 2 Willkommen

Mehr

P1-63,64,65: Schaltlogik

P1-63,64,65: Schaltlogik Physikalisches Anfängerpraktikum (P1) P1-63,64,65: Schaltlogik Matthias Ernst (Gruppe Mo-24) Karlsruhe, 14.12.2009 Ziel des Versuchs ist ein erster Kontakt mit nichtprogrammierbaren Schaltungen, deren

Mehr

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung...1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Digital-Technik. Grundlagen und Anwendungen. Teil IV

Digital-Technik. Grundlagen und Anwendungen. Teil IV Digital-Technik Grundlagen und Anwendungen Teil IV Übersicht 10-11 10 Zeitabhängige binäre Schaltungen 10.1 B i s t a b i l e Kippstufen (Flipflops) 10.2 Zeitablaufdiagramme 1 0. 3 M o n o s t a b i l

Mehr

Informatik II. - A - Vorlesung an der Universität Bonn im Sommersemester 2002. A Bausteine von Rechnern. Prof. Dr. Rainer Manthey

Informatik II. - A - Vorlesung an der Universität Bonn im Sommersemester 2002. A Bausteine von Rechnern. Prof. Dr. Rainer Manthey Titelfolie Bausteine von Rechnern Informatik II - - Vorlesung an der Universität Bonn im Sommersemester 22 Bausteine von Rechnern Prof. Dr. Rainer Manthey 22 Prof. Dr. Rainer Manthey Informatik II 22 Prof.

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M 1 Übersicht Im Praktikum zur Vorlesung Computergestütztes Experimentieren I wird der Vorlesungsstoff geübt und vertieft. Ausserdem werden die speziellen

Mehr

Teil II. Schaltfunktionen

Teil II. Schaltfunktionen Teil II Schaltfunktionen 1 Teil II.1 Zahlendarstellung 2 b-adische Systeme Sei b IN mit b > 1 und E b = {0, 1,..., b 1} (Alphabet). Dann ist jede Fixpunktzahl z (mit n Vorkomma und k Nachkommastellen)

Mehr

Configurable Logic Board CLB

Configurable Logic Board CLB Fachgebiet Digitaltechnik Prof. Dr.-Ing. Peter Zipf Configurable Logic oard CL edienungsanleitung Einführung Zur Realisierung von Digitalschaltungen stehen heutzutage viele verschiedene Technologien zur

Mehr

Institut für Mess- und Regelungstechnik Prof. Dr.-Ing. C. Stiller Universität Karlsruhe (TH) Digitaltechnik

Institut für Mess- und Regelungstechnik Prof. Dr.-Ing. C. Stiller Universität Karlsruhe (TH) Digitaltechnik Institut für Mess- und Regelungstechnik Prof. r.-ing.. Stiller Universität Karlsruhe (H) igitaltechnik H. ltmann, H. lessing, H. urkhardt und. Pérard Kurzbeschreibung igitale Geräte erscheinen auf den

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Digitaltechnik 1. Bücher

Digitaltechnik 1. Bücher WS / Digitaltechnik Prof. Dr. Dietz Digitaltechnik Stoffübersicht:. Einleitung. Grundlagen der Digitaltechnik bis 5 ~ DT. Boolsche Algebra, Schaltalgebra 4. Schaltnetze 5. Schaltwerke 6. Rechnergestützten

Mehr

Rechnenund. Systemtechnik

Rechnenund. Systemtechnik Rechnen- und Systemtechnik 1 / 29 Rechnenund Systemtechnik Skript und Unterrichtsmitschrift April 22 Rechnen- und Systemtechnik 2 / 29 nhaltsverzeichnis 1. Grundbausteine der Digitaltechnik... 4 1.1. UND-Verknüpfungen

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik von Dirk W. Hoffmann 1. Auflage Hanser München 2007 Verlag C.H. Beck im Internet: www.beck.de ISBN 978 3 446 40691 9 Zu Leseprobe schnell und portofrei erhältlich

Mehr

Daten, Informationen, Kodierung. Binärkodierung

Daten, Informationen, Kodierung. Binärkodierung Binärkodierung Besondere Bedeutung der Binärkodierung in der Informatik Abbildung auf Alphabet mit zwei Zeichen, in der Regel B = {0, 1} Entspricht den zwei möglichen Schaltzuständen in der Elektronik:

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

183.580, WS2012 Übungsgruppen: Mo., 22.10.

183.580, WS2012 Übungsgruppen: Mo., 22.10. VU Grundlagen digitaler Systeme Übung 2: Numerik, Boolesche Algebra 183.580, WS2012 Übungsgruppen: Mo., 22.10. Aufgabe 1: Binäre Gleitpunkt-Arithmetik Addition & Subtraktion Gegeben sind die Zahlen: A

Mehr

Digitaltechnik Teil 1-1 - Prof. Komar. Digitaltechnik

Digitaltechnik Teil 1-1 - Prof. Komar. Digitaltechnik Digitaltechnik Teil 1-1 - Prof. Komar Digitaltechnik Teil 1: Schaltalgebra Minimierung - digitale Bausteine - Schaltnetze Inhaltsverzeichnis Schaltalgebra... 2 Verknüpfungszeichen und Darstellungsformen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Informationslogik. Theorie und Übungen. Robert-Bosch-Schule Ulm. Version 1.0

Informationslogik. Theorie und Übungen. Robert-Bosch-Schule Ulm. Version 1.0 Informationslogik Theorie und Übungen Robert-Bosch-Schule Ulm Version.0 7. September 205 Inhaltsverzeichnis: Dieses Skript soll als Nachschlagewerk und als Übungsbuch dienen. Dieses Skript ist aus eigenen

Mehr

AND OR NOT NAND NOR XNOR XOR A B Y 0 0 1 0 1 1 1 0 1 1 1 0

AND OR NOT NAND NOR XNOR XOR A B Y 0 0 1 0 1 1 1 0 1 1 1 0 1. Grundlagen Binär: Es existieren nur zwei definierte Zustände (0, 1), (L, H), (0V, 5V), Redundanz: Anzahl notwendige Bitstellen, um Zustände zu kodieren: Z = 2n (n=anzahl Bits) Kontinuierlich Diskret

Mehr

Übungsaufgaben für "Grundlagen der Informationsverarbeitung" (mit Lösungen)

Übungsaufgaben für Grundlagen der Informationsverarbeitung (mit Lösungen) Übungsaufgaben für "Grundlagen der Informationsverarbeitung" (mit Lösungen). Erläutern Sie die Begriffe Bit, Byte und Wort bezogen auf einen 6 Bit Digitalrechner. Bit: Ein Bit ist die kleinste, atomare,

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

HANSER. von Prof. Dipl.-Ing. Johannes Borgmeyer. 2., verbesserte Auflage

HANSER. von Prof. Dipl.-Ing. Johannes Borgmeyer. 2., verbesserte Auflage 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. von Prof. Dipl.-Ing. Johannes Borgmeyer 2., verbesserte Auflage Mit

Mehr

Gal-Tutorium. Einführung in die programmierbare Logik

Gal-Tutorium. Einführung in die programmierbare Logik Gal-Tutorium Einführung in die programmierbare Logik SH-Elektronik 2008 Kapitel 1: Vorbemerkungen 1.1. Einige Anmerkungen zum internen Aufbau von GALs Schaut man sich (z.b. im Internet) den prinzipiellen

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

DV1_Kapitel_4.doc Seite 4-1 von 28 Rüdiger Siol 12.09.2009 16:29

DV1_Kapitel_4.doc Seite 4-1 von 28 Rüdiger Siol 12.09.2009 16:29 Inhaltsverzeichnis 4 Boolesche lgebra... 4-2 4. lgebra der Logik, algebraische Logik... 4-2 4.. Schaltalgebra und logische Schaltungen... 4-3 4... Zustand eines digitalen Systems... 4-5 4...2 Schaltfunktion...

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack)

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack) Dies ist nun also die freundlicherweise von mir mitgetippte Fassung der Vorlesung Digitaltechnik (2. Semester) bei Hr. Schillack an der BA-Mannheim. Ich hoffe ihr könnt damit was anfangen. Fehler, Kritik,

Mehr

Vorbereitung: Schaltlogik

Vorbereitung: Schaltlogik Vorbereitung: Schaltlogik Marcel Köpke (1588978) Gruppe 7 06.01.2012 Inhaltsverzeichnis 1 Bauelemente 5 1.1 AND-Gatter................................... 5 1.2 NOT-Gatter...................................

Mehr

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen 6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen Ziele dieses Kapitels sind: Lernen wie digitale Speicherelemente aus elementaren Logikgattern aufgebaut werden Unterschiede zwischen asynchronen

Mehr

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929)

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929) Physikalisches Anfängerpraktikum 1 Gruppe Mo-16 Wintersemester 2005/06 Julian Merkert (1229929) Versuch: P1-64 Schaltlogik - Vorbereitung - Vorbemerkung In diesem Versuch geht es darum, die Grundlagen

Mehr

Inhaltsverzeichnis. Teil I Aufgaben 1

Inhaltsverzeichnis. Teil I Aufgaben 1 iii Teil I Aufgaben 1 1 Grundlagen der Elektrotechnik 3 Aufgabe 1: Punktladungen............................ 3 Aufgabe 2: Elektronenstrahlröhre........................ 3 Aufgabe 3: Kapazität eines Koaxialkabels...................

Mehr

Schaltlogik Versuch P1-63,64,65

Schaltlogik Versuch P1-63,64,65 Vorbereitung Schaltlogik Versuch 1-63,64,65 Iris onradi Gruppe Mo-02 23. Oktober 2010 In diesem Versuch sollen die Grundlagen der digitalen Elektronik erarbeitet werden. Das der Schaltlogik zugrunde liegende

Mehr

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell: inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Rechnerstrukturen. 2. Grundlagen. Inhalt. Vorlesung Rechnerstrukturen Winter 2002/03. (c) Peter Sturm, Universität Trier 1. Elektronische Schalter

Rechnerstrukturen. 2. Grundlagen. Inhalt. Vorlesung Rechnerstrukturen Winter 2002/03. (c) Peter Sturm, Universität Trier 1. Elektronische Schalter Vorlesng Rechnerstrktren Winter 22/3 Rechnerstrktren 2. Grndlagen Inhalt Elektronische Schalter Elementare Gatterfnktionen Schaltnetze Schaltwerke 2.2 (c) Peter Strm, Universität Trier Vorlesng Rechnerstrktren

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

3 Rechnen und Schaltnetze

3 Rechnen und Schaltnetze 3 Rechnen und Schaltnetze Arithmetik, Logik, Register Taschenrechner rste Prozessoren (z.b. Intel 4004) waren für reine Rechenaufgaben ausgelegt 4 4-Bit Register 4-Bit Datenbus 4 Kbyte Speicher 60000 Befehle/s

Mehr

Lerntext Computergestütztes Experimentieren I. Mladen Ivkovic mladen.ivkovic@uzh.ch Herbstsemester 2015

Lerntext Computergestütztes Experimentieren I. Mladen Ivkovic mladen.ivkovic@uzh.ch Herbstsemester 2015 Lerntext Computergestütztes Experimentieren I Mladen Ivkovic mladen.ivkovic@uzh.ch Herbstsemester 2015 1 Anmerkung des Autoren Zweck Dieses Dokument soll Lernmaterial/eine Lernhilfe für die Vorbereitung

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Grundlagen der Computertechnik

Grundlagen der Computertechnik Grundlagen der Computertechnik Aufbau von Computersystemen und Grundlagen des Rechnens Walter Haas PROLOG WS23 Automation Systems Group E83- Institute of Computer Aided Automation Vienna University of

Mehr

Leseprobe. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen. ISBN (Buch): 978-3-446-44396-9

Leseprobe. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen. ISBN (Buch): 978-3-446-44396-9 Leseprobe Gerd Wöstenkühler Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen ISBN (Buch): 978-3-446-44396-9 ISBN (E-Book): 978-3-446-44531-4 Weitere Informationen oder Bestellungen

Mehr

Wir benutzen im nachfolgenden Versuch ein PLA zur Implementierung zweier boolscher Funktionen. Dazu einige Vorüberlegungen.

Wir benutzen im nachfolgenden Versuch ein PLA zur Implementierung zweier boolscher Funktionen. Dazu einige Vorüberlegungen. Kapitel 3 Programmable Logic Array (PLA) Die Idee eines PLA ist, dass bei der Chipherstellung ein homogenes Feld von Transistoren erzeugt wird. Die eigentliche Funktionalität wird dann durch Konfiguration

Mehr