VHDL - Technologische Grundlagen

Größe: px
Ab Seite anzeigen:

Download "VHDL - Technologische Grundlagen"

Transkript

1 VHDL - Technologische Grundlagen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg / 48

2 Gliederung Technologien Programmierbare Logikbausteine FPGA - Field Programmable Gate Array Einordnung von FPGAs und ASICs 2 / 48

3 Technologien Inhalt Vorstellung von Technologien mit denen Speicherverhalten oder z.b. das Setzen von Verbindungsleitungen realisiert werden kann 3 / 48

4 Antifuse irreversible Programmiertechnik Antifuse in unprogrammiertem Zustand sehr hochohmig Verbindung durch Programmierung hergestellt ONO: Spannungsimpuls (ca V), Problem: gleiche Ebene wie Transistoren Metall-Metall: platzsparender (zw. Metallebenen) 4 / 48

5 Antifuse Vorteile: geringer Platzbedarf, nicht flüchtig, immun gegen Störeinflüsse Nachteile: nur einmal programmierbar ungeeignet für Prototyping, Einsatz in Serienfertigung Gegenteil Fuses in unprogrammiertem Zustand leitend Verbindungen durch Programmierung zerstört Actel verwendete z.b. Antifuses für FPGAs nur zirka 2% der Verbindungen müssen gesetzt werden kürzere Programmierzeiten 5 / 48

6 EPROM Transistor mit Floating Gate Schwellspannung kann auf 2 Werte gesetzt werden Programmierung durch hohe Spannung zwischen Gate und Source/Substrat sowie Drain und Source/Substrat Elektronen im Kanal stark beschleunigt (hot electrons) durch Gate-Spannung schlüpfen einige Elektronen ins FG 6 / 48

7 EPROM Vorgang ist selbstlimitierend aufgeladenes FG verringert Gate-Source-Spannung Wirkung: mit aufgeladenem FG kann Transistor bei normaler Gatespannung (5V) nicht durchschalten Löschen: Bestrahlung mit UV-Licht Nachteil: dauert lange (20 min), IC in spezielles Löschgerät, Löschung nicht vollständig möglich (es bleiben Elektr. zurück) 7 / 48

8 EPROM-Speicherzelle durch FG zwei Schwellspannungen U th1 und U th2 einstellbar WL ausgewählt, dann Spannung U WL am Gate Verhalten: FG gelöscht U th1 < U WL 0 an Leseverstärker (Bitladung nach Precharge entladen) FG geladen U th2 > U WL 1 an Leseverstärker (Precharge nicht entladen) 8 / 48

9 EEPROM Vorteil gegenüber EPROM: elektrisches Löschen FLOTOX-Transistor (Floating Gate Tunneling Oxide) mit zusätzlichem Select Transistor Injektor im Drain-Bereich des FLOTOX-Transistors vor Programmierung muss Löschung erfolgen FG wird durch hohe Spannung zwischen Gate und Drain durch einen Tunnelstrom aufgeladen U th2 wird eingestellt 9 / 48

10 EEPROM nach Löschen liefern die Zellen eine 1 beim Auslesen Programmierung: Control Gate auf 0V gesetzt, Select Gate aktiviert, Source Schalter deaktiviert 14V an Bitleitung FG wird entladen U th1 (log. 0 ) 0V an Bitleitung FG wird nicht entladen U th2 (log. 1 ) 10 / 48

11 EEPROM-Speicherzellen Auslesen: erfolgt wortweise über WL und Auswahl-Transistor WL wird aktiviert, somit auch der Auswahl-Transistor der die Control Gates steuert FG geladen (log. 1 ), dann bleibt die 1 auf BL erhalten FG entladen (log. 0 ) dann wird BL entladen Wenn FG entladen (log. 0 ), dann ist FLOTOX-Trans. selbstleitend (U th1 < 0V ) deshalb ist der Select-Transistor notwendig 11 / 48

12 EEPROM-Speicherzellen Speicherdichte geringer als bei EPROM (2 Transistorzelle, Auswahltransistoren,...) es sind aber mehr Lösch-/Programmierzyklen möglich Datenhaltung um die 10 Jahre, aber anfällig gegen Strahlung Flash-Speicherzellen vereinigen Vorteile von EPROMs und EEPROMs nur 1-Transistorzelle, die elektr. löschbar ist "Flash": blockweises, schnelles Löschen bekannteste Technologie ist die ETOX-Zelle (Intel) 12 / 48

13 SRAM-Zelle U dd Q M3 M2 M5 Q M6 Realisierung mit Transistoren (evtl. Widerstände) flüchtig bistabile Kippglieder Latch-Funktion mit zwei kreuzgekoppelten Invertern 13 / 48

14 6-Transistorzelle SRAM-Transistorzellen Vorteile: hohe Störsicherheit, geringer Stromverbrauch Nachteil: hoher Platzbedarf 5-Transistorzelle Vorteile: weniger Platzbedarf, geringer Stromverbrauch Nachteil: geringere Störsicherheit, kein Differenzsignal 14 / 48

15 4-Transistorzelle zwei der Transistoren durch Widerstände ersetzt Vorteile: 1/3 kleiner als 6-Transistor-Zelle Nachteil: Ruhestrom der um Faktor größer ist Einsatz häufig 6-Transistorzelle, wegen niedriger Ruhestromaufnahme und hoher Störsicherheit Ausnahmen: hohe bis höchste Speicherdichte ist gefragt 15 / 48

16 Programmierbare Logikbausteine Inhalt Welche programmierbaren Logikbausteine gab es vor dem FPGA? Übersicht SPLD (Simple Programmable Logic Device) PLA (Programmable Logic Array) PAL (Programmable Array Logic) CPLD (Complex Programmable Logic Device) 16 / 48

17 PLA - Programmable Logic Array zur Umsetzung von booleschen Funktionen in disjunktiver Normalform (DNF), z.b. y = (a b) ( a b) PLAs bestehen aus einer UND- und einer ODER-Matrix beide Matrizen programmierbar UND-Matrix: beliebige Konjunktionen (Produktterme) negierter und nicht-negierter Eingänge ODER-Matrix verknüpft Produktterme zur DNF 17 / 48

18 PLA - Programmable Logic Array Abbildung vereinfacht dargestellt real soviele Leitungen in AND-/OR-Gatter wie Eingänge vorhanden sind Welche Funktionen werden hier realisiert? 18 / 48

19 PLA - Programmable Logic Array Lösung: y 0 = ( a 1 a 0 ) (a 1 a 0 ) y 1 = (a 1 a 0 ) 19 / 48

20 PLA - Programmable Logic Array PALs verwenden nur eine programmierbare UND-Matrix Nachteile: Produktterme nur einmalig verwendbar und Anzahl pro Ausgang beschränkt Vorteile: geringerer Platzbedarf, kürzere Verzögerungszeiten (heute im Nanosekundenbereich) Einsatz: Decoder, Schaltwerke 20 / 48

21 CPLD - Complex Programmable Logic Device entwickelt für komplexere Funktionen Idee: mehrere PLA-, PAL-Strukturen auf einem Chip anordnen diese mit zentraler Schaltmatrix verbinden Beispiel: Xilinx XC9500 CPLD benutzt Flash-Technologie zur Programmierung bestehen aus mehreren Function Blocks (PAL-Funktionsblöcke, 2-16 Stck.) einer Switch Matrix I/O Blocks, die mit Switch Matrix gekoppelt sind 21 / 48

22 Xilinx XC9500 CPLD 22 / 48

23 Xilinx XC9500 CPLD Function Block 36 Eingänge, 16 Ausgänge, 90 Produktterme Produktterme können auf 18 Makrozellen geschaltet werden Makrozelle enthält ein Flip-Flop ein "Product Term Allocator"(nur 5 Terme fest zugeordnet) Takt, sowie Reset können global oder lokal erzeugt werden Flip-Flop umgehen für kombinatorische Funktion Rückkopplungen ohne Switch Matrix möglich, für schnelle Zähler (bis zu 100 MHz) Einsatzgebiete CPLD schnelle, steuerflussorientierte Anwendungen (Schaltwerke, Zähler, Decoder) 23 / 48

24 Makrozelle Xilinx XC9500 CPLD 24 / 48

25 FPGA - Field Programmable Gate Array Übersicht Prinzipieller Aufbau Eigenschaften und Einsatzgebiete FPGA zur Vorlesung - Spartan3E-1200 Trends der FPGA-Entwicklung Hersteller und Typen 25 / 48

26 Prinzipieller Aufbau Basiszellen haben geringere Komplexität als bei CPLD (feingranular) sind in regelmäßiger Feldstruktur auf dem Chip angeordnet 26 / 48

27 Prinzipieller Aufbau Konfigurationsspeicher bestimmt Funktionalität der Verbindungen Logikzellen Realisierung mit SRAM, Antifuse, / 48

28 Prinzipieller Aufbau segmentierte Verbindungsstruktur mit horz./vert. Kanälen (keine zentralen Schaltmatrizen) Basiszelle: CLB (Configurable Logic Block), [bei ALTERA LAB (Logic Array Block)] unterschiedliche Realisierungen für CLBs: XILINX, ALTERA: LUT (Look Up Table), SRAM-basiert ACTEL, QUICKLOGIC: Multiplexer-Realisierung, Antifuse-basiert ACTEL, LATTICE: Flash-basiert verschiedene zusätzliche Komponenten im FPGA integriert (IOB, BRAM, Clock-Manager, Multiplizierer,...) 28 / 48

29 Eigenschaften Vorteile: Flexibilität, Parallelität, beliebig oft konfigurierbar (bis auf Antifuse-Lösungen), dynamische und partiell dynamische Rekonfigurierung Nachteile: geringere Logikdichte als ASIC, dadurch höhere Verzögerungszeiten, hohe Leistungsaufnahme, teuer Einsatzgebiete vorrangig im Prototyping-Bereich zunehmend in eingebetteten Systemen (Datenverarbeitung, Kommunikation) Einsatz häufig auch als Coprozessor 29 / 48

30 FPGA zur Vorlesung - Spartan3E-1200 Spartan3E der Fa. Xilinx, 1200K Systemgatter??? (NAND2) 2168 CLBs, sowie 504K BlockRAM 30 / 48

31 FPGA zur Vorlesung - Spartan3E-1200 CLB enthält vier miteinander verbundene Slices 31 / 48

32 FPGA zur Vorlesung - Spartan3E-1200 SLICEM - für Logik- und Speicherfunktionen SLICEL - für Logikfunktionen 32 / 48

33 FPGA zur Vorlesung - Spartan3E SLICE besteht prinzipiell aus 2 LUTs und 2 FFs (neuere Versionen 4/4) zusätzliche Multiplexer für z.b. Kombination von LUTs zu höherwertigen Funktionen 33 / 48

34 FPGA zur Vorlesung - Spartan3E / 48

35 FPGA zur Vorlesung - Spartan3E-1200 LUT (Look Up Table) hat zw. 4-6 Eingänge zur Realisierung von Gatterfunktionen (Kombinatorik) kleiner RAM mit k Adressen und 2 k Speicherplätzen (auch direkt als verteilter RAM verwendbar) 35 / 48

36 FPGA zur Vorlesung - Spartan3E-1200 Verknüpfen der CLBs über programmierbare Verbindungen diese erhöhen jedoch die Verzögerungszeiten Zielkonflikt der Hersteller: möglichst viele Verbindungen, aber geringe Verzögerungszeiten Einsatz segmentierter Verbindungsarchitekturen lokale Verbindungen in den Basiszellen kurze Verbindungen zw. benachbarten Basiszellen verknüpfbare Leitungssegmente unterschiedlicher Länge (für globale Verbindungen) Verzögerungszeiten nicht vorhersagbar (während des Routing abgeschätzt) 36 / 48

37 FPGA zur Vorlesung - Spartan3E-1200 Segmentierte Verbindungsstruktur 37 / 48

38 FPGA zur Vorlesung - Spartan3E-1200 Switch Matrix: C-Box und S-Box zusammengefasst 38 / 48

39 FPGA zur Vorlesung - Spartan3E-1200 Realisierung von PIPs (Programmable Interconnect Point) Spartan3E mit SRAM realisiert 39 / 48

40 FPGA zur Vorlesung - Spartan3E-1200 I/O-Blöcke (IOB) auf dem Chip mit jeweils einem Pad verbunden Pads über Bonddrähte mit Pins des FPGA-Gehäuses verbunden intern über Schaltmatrizen angebunden IOB-Funktionen uni- oder bidirektionale IOBs möglich enthalten DFFs, z.b. wichtig für best. Busprotokolle/Interfaces (PCI, DDR) Anpassung an verschiedene I/O-Standards (von 1.2V-3.3V) programmierbare Pullup- und Pulldown-Widerstände 40 / 48

41 FPGA zur Vorlesung - Spartan3E / 48

42 Trends der FPGA-Entwicklung Trend zu System-on-Chip Lösungen (SoC) Integration von Mikroprozessorkernen, Schnittstellen, Speichern, Bussystemen oder Signalverarbeitungseinheiten Paradigmen-Wechsel von programmierbaren Schaltungen hin zu programmierbaren Systemen 42 / 48

43 Hersteller und Typen XILINX und ALTERA sind die FPGA-Veteranen (> 80% Marktanteil) im "High-End Bereich" im Low-Cost Bereich Vielzahl anderer Wettbewerber, wie LATTICE, ACTEL und ATMEL versuchen durch spezielle Funktionen und niedrige Preise hervorzustechen ATMEL ATK40KAL: als rekonf. Coprozessor gedacht, LUT-basiert, Basiszellen über 8-er Nachbarschaft gekoppelt FPSLIC: Field Programmable System Level Integrated Circuit, Kombination aus AVR-Core und FPGA-Architektur 43 / 48

44 Hersteller und Typen ACTEL Bereiche: portable mediz. Anwendungen, Industrie IGLOO: flashbasierte sehr kleine Low-Power FPGAs, wenige mw Leistungsaufnahme PROASIC3: ARM-Softcore-Unterstützung, AES-Decryption FUSION: integrierte ADC-Wandler RTAX: strahlungstolerant, für Anwendungen in der Raumfahrt Lattice LatticeECP3: Low-Power, SERDES-Kanäle bis 3.2 Gbps (z.b. füer GbE, PCIe,...), AES-Decryption, MAC-Einheiten integriert LatticeXP2: Low-Cost, flash-basiert, DDR/DDR2 Interfaces mit bis zu 200 MHz 44 / 48

45 Hersteller ALTERA Cyclone-Serie (Low-Cost, Low-Power) intergrierte Memory Blocks (bis 1MB) 18x18 Multiplizierer (bis zu 396) NIOS II Softcore Prozessor + IPs Anwendungen: Automobil, Industrie, Multimedia, Militär,... Arria-Serie (Mid-Range) u.a. High-Speed Transceiver bis 3.75 Gbps, PCIe Schnittstelle Stratix-Serie (High-Performance) mit 11.3 Gbps TransceiverSwitch Matrix DDR3 Ansteuerung mit 533 MHz möglich Anwendungsbereiche vorallem Kommunikation, Signalverarbeitung, ASIC-Prototyping (HardCopy Series) 45 / 48

46 Hersteller XILINX Spartan-Serie (Low-Cost, Low-Power) enthält neben CLBs und I/Os integrierten BlockRAM, DSP-Einheiten, Clock-Manager neue Versionen mit Controller Blöcken für DDR-Ansteuerung High-Speed Transceiver für PCIe Virtex-Serie (High-Performance) High-Performance Variante mit aktuell 40 nm Technolgie Subfamilien LXT (Logik), SXT (Signalverarbeitung) und HXT (Kommunikation) ältere Varianten mit bis zu 4 PowerPC-Kernen (400 MHz) ab Virtex-6 Familie davon abgekommen neuere Versionen geplant mit ARM-Prozessoren (eigenständig) 46 / 48

47 Einordnung Programmierbarer Hardware 47 / 48

48 Literatur Datasheets Spartan-3E FPGA Family: Data Sheet (ds312.pdf) Spartan-3 Generation FPGA User Guide (ug331.pdf) Sparten-3 Generation Configuration User Guide (ug332.pdf) Buch Entwurf von digitalen Schaltungen und Systemen mit HDLs und FPGAs, Frank Kesel u. Ruben Bartholomä, 2. Auflage, Oldenbourg Wissenschaftsverlag GmbH, ISBN / 48

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008 AVT Spartan-3E Development Kit Kurzbeschreibung AVT DK S3E-500 (V1.0) 21.05.2008 Inhaltsverzeichnis Kapitel 1: Kapitel 2: Einführung Komponenten und Eigenschaften AVT Spartan-3E Development Kit Benutzerhandbuch

Mehr

T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series

T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series Inhalt 1. Einführung... 2 2. Anforderungen... 2 3. Komponenten... 3 3.1. PXI 7952R... 3 3.2. Fiber Optical Interface Module

Mehr

Es können nur Werte ausgelesen werden, Es kann -NICHT- geschaltet werden!!

Es können nur Werte ausgelesen werden, Es kann -NICHT- geschaltet werden!! Es können nur Werte ausgelesen werden, Es kann -NICHT- geschaltet werden Vorhanden auf Geräten der V3 Version ab Patch Level 1008 und V2 Versionen ab Patch Level 1001. Bei Geräte der V2 Versionen werden,

Mehr

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme SSDs und Flash Memory Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme Seite 2 Inhalt Motivation Aufbau und Funktionsweise NAND vs NOR SLC vs MLC Speicherorganisation Vergleich mit konventionellen

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten In dem Virtuellen Seminarordner werden für die Teilnehmerinnen und Teilnehmer des Seminars alle für das Seminar wichtigen Informationen,

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Internet Explorer Version 6

Internet Explorer Version 6 Internet Explorer Version 6 Java Runtime Ist Java Runtime nicht installiert, öffnet sich ein PopUp-Fenster, welches auf das benötigte Plugin aufmerksam macht. Nach Klicken auf die OK-Taste im PopUp-Fenster

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Technical Note 0301 ewon

Technical Note 0301 ewon PCE Deutschland GmbH Im Langel 4 59872 Meschede Telefon: 02903 976 990 E-Mail: info@pce-instruments.com Web: www.pce-instruments.com/deutsch/ Technical Note 0301 ewon Variablen (Tags) aus einer Siemens/VIPA

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Technical Note 0302 ewon

Technical Note 0302 ewon Technical Note 0302 ewon Variablen (Tags) aus einer Rockwell Automation Steuerung auslesen - 1 - Inhaltsverzeichnis 1 Allgemeines... 3 1.1 Information... 3 1.2 Hinweis... 3 2 Allen Bradley MicroLogix...

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Gitarren vom Typ Stratocaster mit drei Single-Coils

Gitarren vom Typ Stratocaster mit drei Single-Coils The Original Innovators Gitarren vom Typ Stratocaster mit drei Single-Coils 1. Alte Standardschaltung, alle Pickups mit gleicher Magnetpolung Die Schaltung der Stratocaster und der meisten ihrer Kopien

Mehr

Easy-Monitoring Universelle Sensor Kommunikations und Monitoring Plattform

Easy-Monitoring Universelle Sensor Kommunikations und Monitoring Plattform Easy-Monitoring Universelle Sensor Kommunikations und Monitoring Plattform Eberhard Baur Informatik Schützenstraße 24 78315 Radolfzell Germany Tel. +49 (0)7732 9459330 Fax. +49 (0)7732 9459332 Email: mail@eb-i.de

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

FPGA. Field Programmable Gate Array

FPGA. Field Programmable Gate Array FPGA Field Programmable Gate Array FPGA Was ist das? Das FPGA ist ein relativ neuer, programmierbarer Baustein, der zum Aufbau digitaler, logischer Schaltungen dient. Aufbau Ein FPGA besteht aus einzelnen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Anleitung TempCW 2011

Anleitung TempCW 2011 TempCW - Wirberg 0 30.09.0 Anleitung TempCW 0 vorläufig Inhaltsverzeichnis Aufbauanleitung... Platine... Programmierstecker... Bestückung...3 Inbetriebnahme... 5 Spannungsversorgung... 5 LEDs... 5 NF Teil...

Mehr

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA?

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA? FPGA Übersicht der Aktuellen Bausteine Von Markus Stanczyk Inhaltsverzeichnis 1. Einführung 1.1 Was ist ein FGPA? 2. Aufbau eines FPGA 2.1 Logikblöcke 2.2 Verbindungsarchitektur 3. Marktüberblick 3.1 Allgemein

Mehr

F. Technologische Grundlagen

F. Technologische Grundlagen F. Technologische Grundlagen F.1. Einordnung Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

D. Programmierbare Logik

D. Programmierbare Logik D. Programmierbare Logik Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare Logikfunktionen,

Mehr

Cisco Security Monitoring, Analysis & Response System (MARS)

Cisco Security Monitoring, Analysis & Response System (MARS) Cisco Security Monitoring, System Die Produkte des Herstellers Cisco Systems für Security Information Management haben heute die Produktbezeichnung MARS. Das signaturorientierte IDS wurde im Zuge der technischen

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

E-MAIL VERWALTUNG. Postfächer, Autoresponder, Weiterleitungen, Aliases. http://www.athost.at. Bachstraße 47, 3580 Mödring office@athost.

E-MAIL VERWALTUNG. Postfächer, Autoresponder, Weiterleitungen, Aliases. http://www.athost.at. Bachstraße 47, 3580 Mödring office@athost. E-MAIL VERWALTUNG Postfächer, Autoresponder, Weiterleitungen, Aliases http://www.athost.at Bachstraße 47, 3580 Mödring office@athost.at Loggen Sie sich zunächst unter http://www.athost.at/kundencenter

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Guide DynDNS und Portforwarding

Guide DynDNS und Portforwarding Guide DynDNS und Portforwarding Allgemein Um Geräte im lokalen Netzwerk von überall aus über das Internet erreichen zu können, kommt man um die Themen Dynamik DNS (kurz DynDNS) und Portweiterleitung(auch

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

7. Unipolare Transistoren, MOSFETs

7. Unipolare Transistoren, MOSFETs 7.1. Funktionsweise Die Bezeichnung MOSFET (Metal Oxide Semiconductor Field Effect Transistor) deutet auf den Aufbau dieses Transistors hin: Das Halbleiterelement ist mit einer sehr dünnen, isolierenden

Mehr

Dokumentenverwaltung. Copyright 2012 cobra computer s brainware GmbH

Dokumentenverwaltung. Copyright 2012 cobra computer s brainware GmbH Dokumentenverwaltung Copyright 2012 cobra computer s brainware GmbH cobra Adress PLUS ist eingetragenes Warenzeichen der cobra computer s brainware GmbH. Andere Begriffe können Warenzeichen oder anderweitig

Mehr

PV-Anlagen vor Blitz und Überspannungen schützen

PV-Anlagen vor Blitz und Überspannungen schützen PV-Anlagen vor Blitz und Überspannungen schützen Photovoltaik-Anlagen sind besonders durch Blitzeinschläge und Überspannungen gefährdet, da sie häufig in exponierter Lage installiert werden. Damit sich

Mehr

PC-Software für Verbundwaage

PC-Software für Verbundwaage Dipl.-Ing., Ökonom Tel.: 05601 / 968891 Artur Kurhofer Fax : 05601 / 968892 Bayernstr. 11 Mobil : 0175 / 2742756 www.autese.de 34225 Baunatal a.kurhofer@autese.de PC-Software für Verbundwaage Die hier

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Kleines Handbuch zur Fotogalerie der Pixel AG

Kleines Handbuch zur Fotogalerie der Pixel AG 1 1. Anmelden an der Galerie Um mit der Galerie arbeiten zu können muss man sich zuerst anmelden. Aufrufen der Galerie entweder über die Homepage (www.pixel-ag-bottwartal.de) oder über den direkten Link

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Switch 1 intern verbunden mit onboard NICs, Switch 2 mit Erweiterungs-NICs der Server 1..6

Switch 1 intern verbunden mit onboard NICs, Switch 2 mit Erweiterungs-NICs der Server 1..6 Zuordnung LAN-Verbindungen zu Server Ports und Ports Bei der Netzwerk-Einrichtung der Server im Modular System ist die Frage zu beantworten, welche LAN-Verbindung (gemäß Betriebssystembezeichnung) mit

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

Anleitung Grundsetup C3 Mail & SMS Gateway V02-0314

Anleitung Grundsetup C3 Mail & SMS Gateway V02-0314 Anleitung Grundsetup C3 Mail & SMS Gateway V02-0314 Kontakt & Support Brielgasse 27. A-6900 Bregenz. TEL +43 (5574) 61040-0. MAIL info@c3online.at loxone.c3online.at Liebe Kundin, lieber Kunde Sie haben

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Portierung eines geeigneten LZ-basierten Kompressors auf LegUp-HLS

Portierung eines geeigneten LZ-basierten Kompressors auf LegUp-HLS Kolloquium zur Projektarbeit des Moduls ET-INF-D-900 Portierung eines geeigneten LZ-basierten Kompressors auf LegUp-HLS Jens Voß jens.voss@mailbox.tu-dresden.de Dresden, 11.02.2015 Kolloquium - Projektarbeit

Mehr

Local Control Network

Local Control Network Netzspannungsüberwachung (Stromausfallerkennung) Die Aufgabe Nach einem Stromausfall soll der Status von Aktoren oder Funktionen wieder so hergestellt werden, wie er vor dem Stromausfall war. Die Netzspannungsüberwachung

Mehr

3. GLIEDERUNG. Aufgabe:

3. GLIEDERUNG. Aufgabe: 3. GLIEDERUNG Aufgabe: In der Praxis ist es für einen Ausdruck, der nicht alle Detaildaten enthält, häufig notwendig, Zeilen oder Spalten einer Tabelle auszublenden. Auch eine übersichtlichere Darstellung

Mehr

Anleitung zur Nutzung des SharePort Utility

Anleitung zur Nutzung des SharePort Utility Anleitung zur Nutzung des SharePort Utility Um die am USB Port des Routers angeschlossenen Geräte wie Drucker, Speicherstick oder Festplatte am Rechner zu nutzen, muss das SharePort Utility auf jedem Rechner

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Eigenen WSUS Server mit dem UNI WSUS Server Synchronisieren

Eigenen WSUS Server mit dem UNI WSUS Server Synchronisieren Verwaltungsdirektion Informatikdienste Eigenen WSUS Server mit dem UNI WSUS Server Synchronisieren Inhaltsverzeichnis Einleitung... 3 Installation WSUS Server... 4 Dokumente... 4 Step by Step Installation...

Mehr

Local Control Network

Local Control Network Lichtszenen Sie ermöglichen per einfachen Tastendruck die Lichtstimmung auszuwählen, z.b. im Wohnzimmer entweder Putzlicht (alle 100%), TV, Lesen oder Unter uns (alle 0%). Oder im Kino, in der Kirche oder

Mehr

Bedienungsanleitung Version 1.0

Bedienungsanleitung Version 1.0 Botex DMX Operator (DC-1216) Bedienungsanleitung Version 1.0 - Inhalt - 1 KENNZEICHEN UND MERKMALE...4 2 TECHNISCHE ANGABEN...4 3 BEDIENUNG...4 3.1 ALLGEMEINES:...4 3.2 BEDIENUNG UND FUNKTIONEN...5 4 SZENEN

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Anti-Botnet-Beratungszentrum. Windows XP in fünf Schritten absichern

Anti-Botnet-Beratungszentrum. Windows XP in fünf Schritten absichern Windows XP in fünf Schritten absichern Inhalt: 1. Firewall Aktivierung 2. Anwendung eines Anti-Virus Scanner 3. Aktivierung der automatischen Updates 4. Erstellen eines Backup 5. Setzen von sicheren Passwörtern

Mehr

Einrichten eines Postfachs mit Outlook Express / Outlook bis Version 2000

Einrichten eines Postfachs mit Outlook Express / Outlook bis Version 2000 Folgende Anleitung beschreibt, wie Sie ein bestehendes Postfach in Outlook Express, bzw. Microsoft Outlook bis Version 2000 einrichten können. 1. Öffnen Sie im Menü die Punkte Extras und anschließend Konten

Mehr

Erstellen einer E-Mail in OWA (Outlook Web App)

Erstellen einer E-Mail in OWA (Outlook Web App) Erstellen einer E-Mail in OWA (Outlook Web App) Partner: 2/12 Versionshistorie: Datum Version Name Status 13.09.2011 1.1 J. Bodeit Punkte 7 hinzugefügt, alle Mailempfänger unkenntlich gemacht 09.09.2011

Mehr

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRATIUM Versuch T-1 ontaktlogik Fachbereich Informatik Universität aiserslautern eite 2 Versuch T-1 Versuch T-1 Vorbemerkungen chaltnetze lassen sich in drei lassen einteilen: 1. chaltnetze vom

Mehr

Bluetooth Headset Modell Nr. BT-ET007 (Version V2.0+EDR) ANLEITUNG Modell Nr. BT-ET007 1. Einführung Das Bluetooth Headset BT-ET007 kann mit jedem Handy verwendet werden, das über eine Bluetooth-Funktion

Mehr

Ein Scan basierter Seitenangriff auf DES

Ein Scan basierter Seitenangriff auf DES Ein Scan basierter Seitenangriff auf DES Seminar Codes & Kryptographie SS04 Tobias Witteler 29.06.2004 Struktur des Vortrags 1. Einführung / Motivation 2. Struktur von DES 3. Die Attacke Begriffsklärung:

Mehr

Sollten während der Benutzung Probleme auftreten, können Sie die folgende Liste zur Problembehebung benutzen, um eine Lösung zu finden.

Sollten während der Benutzung Probleme auftreten, können Sie die folgende Liste zur Problembehebung benutzen, um eine Lösung zu finden. 12. Problembehebung Sollten während der Benutzung Probleme auftreten, können Sie die folgende Liste zur Problembehebung benutzen, um eine Lösung zu finden. Sollte Ihr Problem nicht mit Hilfe dieser Liste

Mehr

1) Farbsteuergerät in der Nikobus-Software unter Modul zufügen hinzufügen.

1) Farbsteuergerät in der Nikobus-Software unter Modul zufügen hinzufügen. Programmierung des Farbsteuergeräts 340-00112 für Nikobus Diese Bedienungsanleitung gilt auch für die Nikobus-Produkte 340-00111 und 340-00113. Achtung: einige der aufgeführten Betriebsarten sind nur auf

Mehr

Updatehinweise für die Version forma 5.5.5

Updatehinweise für die Version forma 5.5.5 Updatehinweise für die Version forma 5.5.5 Seit der Version forma 5.5.0 aus 2012 gibt es nur noch eine Office-Version und keine StandAlone-Version mehr. Wenn Sie noch mit der alten Version forma 5.0.x

Mehr

XT Großhandelsangebote

XT Großhandelsangebote XT GROßHANDELSANGEBOTE XT Großhandelsangebote Die neuen XT- Großhandelsangebote bieten Ihnen eine große Anzahl an Vereinfachungen und Verbesserungen, z.b. Großhandelsangebote werden zum Stichtag automatisch

Mehr

ABB i-bus KNX. Software-Information. Melde- und Bedientableau. Typ: MT 701.2

ABB i-bus KNX. Software-Information. Melde- und Bedientableau. Typ: MT 701.2 Produkt: Melde- und Bedientableau Typ: MT 701.2 Aktuelles Anwendungsprogramm Plug-In für ETS 2 MT_701_2_ETS2_SOW_xx_V1-12a_de_en.exe Plug-In für ETS 3 MT_701_2_ETS3_SOW_xx_V1-12a_de_en.exe EIBTAB: MT_701_2_EIBTAB_SOW_de_V2-08-00A_EibTab+Firmware.EXE

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1 Fachhochschule Gießen-Friedberg,Fachbereich Elektrotechnik 1 Elektronik-Praktikum Versuch 24: Astabile, monostabile und bistabile Kippschaltungen mit diskreten Bauelementen 1 Allgemeines Alle in diesem

Mehr

ERPaaS TM. In nur drei Minuten zur individuellen Lösung und maximaler Flexibilität.

ERPaaS TM. In nur drei Minuten zur individuellen Lösung und maximaler Flexibilität. ERPaaS TM In nur drei Minuten zur individuellen Lösung und maximaler Flexibilität. Was ist ERPaaS TM? Kurz gesagt: ERPaaS TM ist die moderne Schweizer Business Software europa3000 TM, welche im Rechenzentrum

Mehr

mywms Vorlage Seite 1/5 mywms Datenhaltung von Haug Bürger

mywms Vorlage Seite 1/5 mywms Datenhaltung von Haug Bürger mywms Vorlage Seite 1/5 mywms Datenhaltung von Haug Bürger Grundlegendes Oracle9i PostgreSQL Prevayler Memory mywms bietet umfangreiche Konfigurationsmöglichkeiten um die Daten dauerhaft zu speichern.

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr