Entwurf von Modellrechnern

Größe: px
Ab Seite anzeigen:

Download "Entwurf von Modellrechnern"

Transkript

1 Eckart Modrow Entwurf von Modellrechnern S. 1 Entwurf von Modellrechnern Inhalt: 1. Bezug zum Unterricht 2. Einfache Rechnermodelle 3. Ein schematisches Rechnermodell 3.1 Elementarbefehle 3.2 Befehlszyklen 3.3 Vereinfachungen 3.4 Datenwege 3.5 Der Modellrechner SP10/ Codierung der Befehle 3.7 Arbeiten mit dem Digitalsimulator Schaltungsaufbau mit dem Digitalsimulator 3.9 Schaltungsaufbau mit Hasi 3.10 Aufgaben

2 Eckart Modrow Entwurf von Modellrechnern S Bezug zum Unterricht Beachten wir die Aufgaben des Kurses über technische Informatik, u. a. ein valides Computermodell zu liefern, Erfahrungen im Umgang mit Technik zu vermitteln, erworbene Kenntnisse anzuwenden, und in projektartigen Unterrichtsphasen Eigenaktivitäten der Schülerinnen und Schüler zu ermöglichen dann bietet es sich im zweiten Kursteil an, einen Modellrechner selbst zu entwerfen und auf unterschiedlichen Abstraktionsniveaus zu realisieren. Diese Niveaus können sein ein schematisches Rechnermodell, das als Komponenten Schaltwerke (Speicher, Register, Zähler, ) enthält, die dem Kurs zu diesem Zeitpunkt bekannt sind. Das Modell konkretisiert das Blockmodell, auf das z. B. bei der Erklärung der Arbeitsabläufe mit Referenztypen zurückgegriffen wird. In diesem Funktionsmodell sind Datenwege, dynamische Vorgänge bei der Abarbeitung unterschiedlicher Befehle usw. darstellbar, ohne das die Details der Schaltung in allen Einzelheiten präzisiert werden müssen. die Simulation des Modells z. B. mithilfe eines Digitalsimulators. Hier müssen auch die Details logisch geklärt werden. Es entfallen aber die technischen Probleme, die beim Umgang mit echter Hardware meist auftreten. die Realisierung des Modells in Silizium, also der Bau einer technischen Schaltung z. B. aus ICs. Bei der Komplexität auch einfacher Modellrechner kann das nur erfolgreich geschehen, wenn einerseits schon Erfahrungen im Umgang mit den Bausteinen vorliegen, andererseits die Funktionsabläufe logisch klar sind. Dafür ist es wünschenswert, dass die Bauteile des Simulationsprogramms mit denen der echten Hardware übereinstimmen.

3 Eckart Modrow Entwurf von Modellrechnern S Einfache Rechnermodelle Fasst man Programmiertwerden als eine Form von Maschinenlernen auf, dann müssen Maschinen über die Fähigkeit verfügen, auf unterschiedliche Situationen unterschiedlich zu reagieren, also Entscheidungen zwischen Alternativen zu fällen. Da es Aufgabe von Unterrichtseinheiten über technische Informatik in ihrer Gesamtheit ist, ein gültiges Maschinenmodell bei den Lernenden entstehen zu lassen, gehört m. E. der Übergang von den elementaren Grundschaltungen zu eben dieser Fähigkeit zu den wichtigen Elementen eines Technikkurses. Darauf aufbauend kann eines der üblichen Rechenwerke, das über mindestens zwei unterschiedliche Zustände verfügt (z. B: Addieren und Subtrahieren) gesteuert werden. Die Belegung der Steuerleitungen bildet dann einen Elementarbefehl. Fügt man mehrere Elementarbefehle zu einer Sequenz zusammen und speichert sie geeignet, dann hat man schon die rudimentäre Form eines Programms gefunden. Kann der Wert des erforderlichen Programmschrittzählers mithilfe der Entscheidungsschaltung beeinflusst werden, dann können wir Alternativen und Sprünge und somit Iterationen programmieren. Das genügt als Maschinenmodell für ein Grundverständnis programmierbarer Automaten. Wenn man die Baugruppen der Schaltung zu funktionalen Einheiten wie Rechenwerk, Steuerwerk, Speicher, zusammenfasst, dann hat man ein schönes Beispiel für Modularisierung und Hierarchisierung gefunden. Aber auch Erfahrungen mit der zunehmenden Integration der TTL-ICs dienen demselben Zweck. Die angegebenen fundamentalen Ideen treten hier in einem ganz anderen Gewand auf als in den anderen Kursen. Sie verdeutlichen damit deren übergreifenden Charakter. Schülerinnen und Schüler der Sekundarstufe I mögen den Umgang mit echter Hardware (TTL-ICs, ) meist nach anfänglichem Sträuben sehr. Sie stellen der Schulgemeinschaft mit großem Stolz die entwickelten Rechenwerke z. B. in Schaukästen vor. Da die Entwicklung von Schaltungen und die Komposition von einfachen Schaltwerken aus vorhandenen ICs auch vom Anspruch her in diese Altergruppe gehört, sollten zumindest die Anfänge der technischen Informatik in diesen Bereich verlegt werden. Nicht zu unterschätzen sind die Erfahrungen mit echter Technik, die sonst in der Schule kaum vorkommen. Beginnen wir mit den Alternativen. Reduzieren wir die Fragestellung so weit wie nur möglich, dann lautet die Aufgabe: Gesucht ist eine Schaltung, die entscheiden kann, ob eine 1-Bit- Zahl kleiner als eine andere ist. Das Problem kann leicht über eine Schaltwerttabelle gelöst werden. Wir erhalten z. B. die folgende Schaltung und ihre Gatterdarstellung: a < b = a ^ b

4 Eckart Modrow Entwurf von Modellrechnern S. 4 Betrachten wir jetzt eine Sequenz von Befehlen, die durchnummeriert sind, dann kann nach einem Vergleich dessen Ergebnis zum nächsten Programmschritt addiert werden. Schlägt der Vergleich fehl, dann wird der nächste Befehl ausgeführt, sonst der übernächste. Folgen auf einen Vergleichsbefehl zwei Sprungbefehle, dann stellen die angesprungenen Befehlssequenzen die gesuchten Alternativen dar. Wir benötigen als Ausgangspunkt ein Rechenwerk, das wenigstens zwei Funktionen ausführen kann. Ich wähle einen umschaltbaren 3-Bit-Addierer/Subtrahierer. In diesem ersetzen wir eine Schalterreihe durch ein Register, das Zahlen speichern und Zwischenergebnisse aufnehmen kann - dann haben wir schon mal einen Akkumulator. Weiterhin führen wir einen Takt(-Schalter) ein, da der Speicher einen solchen benötigt, und einen Reset- Schalter, um den Akkumulator bei Bedarf zu löschen. Damit haben wir zwei Steuerleitungen (und den Takt), um die Funktionalität der Schaltung zu beeinflussen.

5 Eckart Modrow Entwurf von Modellrechnern S. 5 Wollen wir mit der Schaltung Rechenaufgaben lösen (deren Ergebnisse allerdings im 3-Bit- Rechenbereich bleiben müssen), dann können wir diese durch eine Folge von Steuerleitungsbelegungen und die erforderlichen Daten (Zahlen) programmieren. Wählen wir z. B = dann brauchen wir das folgende Programm: Reset S Eingabe Kommentar 0 bel. bel. Akku löschen laden addieren subtrahieren addieren Wir können die Bitfolge als Maschinenprogramm auffassen. Zwischen den Befehlen folgen Takte.

6 Eckart Modrow Entwurf von Modellrechnern S. 6 Bis zu dieser Ebene ist auch in der Sek. I mühelos vorzudringen. Die Schülerinnen und Schüler können mit der Schaltung experimentieren, lernen vergleichend mit Simulationsprogrammen und echter Hardware umzugehen, können die Schaltung abwandeln durch andere Bauteile (hier: richtige Register benutzen, Anzeigen, ), durch andere Steuerleitungen (z. B. um den Akkumulators zu laden), durch weitere Register (z. B. als Speicher für Zwischenergebnisse) oder durch Erweiterung des Zahlenbereichs. Kurz: Sie machen sowohl auf einer relativ abstrakten wie auf einer konkreten Ebene Erfahrungen im Umgang mit echter Technik, lernen abzuschätzen, ob ihnen diese Art des Vorgehens liegt.

7 Eckart Modrow Entwurf von Modellrechnern S. 7 Betrachten wir das oben abgebildete Rechenwerk als ein Modul, in das drei Datenleitungen, zwei Steuerleitungen und eine Taktleitung hereinführen, dann können wir dieses von außen steuern, indem wir die erforderlichen Befehle in einem Speicher ablegen. Dieser selbst muss natürlich auch geordnet arbeiten, also gesteuert werden. Dazu benötigen wir einen Binärzähler als Program-Counter, der den aktuellen Befehl angibt, und eine Möglichkeit, die Programminhalte einzugeben. Ich realisiere das hier über geeignete Schalter im unteren Teil des Bildes. Der hier benutzte Binärzähler kann keine Werte laden. Deshalb ist in dieser Schaltung nur ein Sprung zur Adresse 0 möglich über Reset. (Ich habe hier stattdessen einfach die Taktleitung zum Rechenwerk unterbrochen, um einen Stopp zu realisieren.) Trotzdem tauchen schon die ersten Elemente eines echten Prozessors auf. Das System ist bei einem anderen Zähler leicht zu erweitern. Es können von den Schülerinnen und Schülern Maschinen erfunden werden, die natürlich nur immer einige Ausschnitte eines richtigen Befehlssatzes verstehen, trotzdem aber z. B. in der Lage sind, rekursive Programme auszuführen. Die programmierbaren Schaltwerke erfordern einiges an analytischem Denken und sorgfältige Abstimmung der Schaltungsmodule. Sie sind von der Komplexität her in der Sek. II anzusiedeln, können da aber durchaus auch im Grundkurs entwickelt werden. Sie liefern ein stark reduziertes, aber im Prinzip richtiges Hardwaremodell des Von-Neumann-Computers, das für das Verständnis der Abläufe bei Unterprogrammaufrufen und deren Parameterübergabe, Rekursionen und Referenzen m. E. unerlässlich ist. Und sie liefern auch in dieser Altersstufe Erfahrungen in technik-orientierter Arbeit.

8 Eckart Modrow Entwurf von Modellrechnern S Ein schematisches Rechnermodell 3.1 Elementarbefehle Wir wollen unseren Modellrechner top-down für einen bestimmten Zweck entwickeln, also von einem Programmkonstrukt ausgehen, das die Maschine ausführen können soll. Als Beispiel wählen wir ein Programmstück, das die Summe der ersten 5 natürlichen Zahlen (incl. 0) berechnet: s = 0; for(int i=0;i<5;i++) s = s + 1; Der Einfachheit halber ersetzen wir die Zählschleife durch eine Schleife mit Abfrage am Ende, und wir zählen rückwärts: s = 0; i = 5; do { i = i 1; s = s + i; } while(i!= 0); Aus der vorangegangenen Arbeit sollte sich eine Vorstellung über Elementarbefehle entwickelt haben, die ein einfacher Computer ausführen kann (z. B. bei der Entwicklung eines umschaltbaren Addier/Subtrahier-Rechenwerks). Wir übersetzen deshalb das Programmkonstrukt in eine Folge solcher Elementarbefehle, die wir mit beliebigen Memnonics bezeichnen. Vorausgesetzt wird ein Prozessor, der über einen Akkumulator A, also ein einzelnes Rechenregister verfügt. (Adressen schreibt man üblicherweise in Klammern.) Bef.Nr. Befehl Erklärung 0000 LDA 0 //lade den Akkumulator mit dem Wert STA (15) //speichere den Akkumulatorinhalt im Speicher Nr. 15 (s) 0002 LDA 5 //lade den Akkumulator mit dem Wert STA (14) //speichere den Akkumulatorinhalt im Speicher Nr. 14 (i) 0004 LDA (14) //lade den Akkumulator mit dem Inhalt von Speicher 14 (i) 0005 SBA 1 //subtrahiere vom Akkumulatorinhalt den Wert STA (14) //speichere den Akkumulatorinhalt im Speicher Nr. 14 (i) 0007 ADA (15) //addiere zum Akkumulatorinhalt den Inhalt von Speicher 15 (s) 0008 STA (15) //speichere den Akkumulatorinhalt im Speicher Nr. 15 (i) 0009 LDA (14) //lade den Akkumulator mit dem Inhalt von Speicher 14 (i) 0010 JNZ 0004 //bedingter Sprung: weiter bei Schritt 4, falls i # STP //Stopp

9 Eckart Modrow Entwurf von Modellrechnern S Befehlszyklen Im Modell eines von-neumann-rechners befinden sich Daten und Programme im selben Speicher, dem RAM (read-only memory). Der Speicher befindet sich als gesondertes Bauteil außerhalb der Rechen- und Steuereinheit, der CPU (central processor unit), und ist mit dieser über drei Busse verbunden. Die Register des Speichers werden über einen Adressbus adressiert, dessen Werte u. a. durch einen Befehlsschrittzähler (program counter PC) bestimmt werden können. Die Arbeitsschritte in diesem Rechnermodell bestehen jeweils aus drei Phasen: 1. Die Befehls-Holphase: Liegt eine bestimmte Adresse auf dem Adressbus, so gibt der Speicher den Inhalt des entsprechenden Registers auf den Datenbus. Dessen Inhalt wird von einem Befehlsregister (instruction register IR) übernommen. Der aktuelle Befehl befindet sich also immer in diesem Register. 2. Die Befehls-Dekodierphase: Die Bauteile der CPU werden über Steuerleitungen, die in ihrer Gesamtheit den Steuerbus bilden, so eingestellt, dass der Prozessor den im IR befindlichen Befehl ausführen kann. Zu den Bauteilen gehört insbesondere die ALU (arithmetical-logicalunit), die Rechnungen und logische Vergleiche ausführen kann, und ein Rechenregister A, der Akkumulator. 3. Die Befehls-Ausführungsphase: Auf ein Taktsignal hin übernehmen die angesprochenen Bauteile ihre neuen Werte. Nach Beendigung der dritten Phase beginnt die Holphase des nächsten Befehls. (Anmerkung: Da Befehle und Daten oft unterschiedliche Größen haben, können die einzelnen Phasen unterschiedlich lang sein d. h. unterschiedlich viele Takte erfordern -, z. B. um noch fehlende Daten nachzuladen.) In diesem Modell sieht ein Computer so aus: IR CPU bidirektionaler Datenbus Steuerbus RAM A ALU PC unidirektionaler Adressbus

10 Eckart Modrow Entwurf von Modellrechnern S Vereinfachungen Da wir keinen richtigen Prozessor entwickeln wollen, können wir einige drastische Vereinfachungen vornehmen: 1. Der Modellrechner soll nur Ein-Schritt-Befehle ausführen können. 2. Befehl und zugehörige Daten werden in einem Schritt in das IR geladen. 3. Außer dem RAM gibt es keine weiteren externen Bauteile. 4. Der Modellrechner kann nur die angegebenen Befehle ausführen. Auf Erweiterbarkeit wird kein Wert gelegt. 5. Der Datenbus ist nicht bidirektional, sondern wird in zwei Teile gespalten, die Daten vom bzw. zum RAM führen. 6. Alle Größen werden nur mit 4 Bit codiert. Daraus folgt z. B., dass für Programm und Variable insgesamt nur 16 Speicherregister zur Verfügung stehen. Trotz dieser Vereinfachungen wird die Maschine aber eine ganze Menge können! 3.4 Datenwege Betrachten wir unsere Memnonics, also die für unserer kleines Programm erforderlichen Elementarbefehle in diesem Modell, dann zeigt sich schnell, dass die einzelnen Bauteile des Modellrechners ihre Daten aus verschiedenen Quellen erhalten. Der Akkumulator z. B. muss Werte übernehmen können, die sich im IR befinden (s. o.), oder Daten aus dem RAM oder die Ergebnisse von Rechnungen aus der ALU. Die einzelnen Befehle müssen in dieser Hinsicht genau analysiert werden: Befehl: Beschreibung: Datenwege: LDA wert Akkumulator mit den Daten des IR laden LDA (adresse) Akkumulator mit den Daten des Speichers laden, dessen Adresse im IR steht.

11 Eckart Modrow Entwurf von Modellrechnern S. 11 ADA wert SBA wert Zum Akkumulator die Daten des IR addieren bzw. von diesem subtrahieren. ADA (adresse) Zum Akkumulator die Daten des SBA (adresse)speichers addieren bzw. von diesem subtrahieren, dessen Adresse im IR steht. STA (adresse) Den Inhalt des Akkumulators in dem Speicher ablegen, dessen Adresse im IR steht. JNZ wert PC ggf. mit den Daten des IR laden. Aus diesen Bildern ergibt sich: Wir benötigen je einen Multiplexer vor dem Akkumulator, der drei Eingänge umschalten kann (IR, RAM und ALU) vor der ALU, der zwei Eingänge umschalten kann (IR und RAM) vor dem Adressbus, der zwei Eingänge umschalten kann (PC und IR).

12 Eckart Modrow Entwurf von Modellrechnern S Der Modellrechner SP10/02: Unter Berücksichtigung der Ergebnisse des vorigen Abschnitts können wir jetzt unser Blockschaltbild des Modellrechners vervollständigen (Multiplexer werden mit MUX bezeichnet, Steuerleitungen sind gestrichelt gezeichnet): IR RAM 16 x 8 Bit A MUX-A MUX-ALU vorne die Befehle und hinten die Daten ALU C Z Flags, die den Prozessorstatus anzeigen PC Load-PC MUX- ABUS

13 Eckart Modrow Entwurf von Modellrechnern S Codierung der Befehle: Die Memnonics könnten jetzt eigentlich beliebig codiert werden. Aus diesen Codes müssen dann die Belegungen des Steuerbusses abgeleitet werden. Für solche Zwecke kennen wir die Methode der Schaltwerttabellen. Da wir nur neun verschiedene Befehle verwenden, aber bei 4-Bit-Codes dafür 16 Möglichkeiten haben, wollen wir versuchen, die Codes so zu wählen, dass die Steuerleitungen (z. B. von den Multiplexern) sich möglichst einfach aus den Codes ergeben. Im Idealfall codiert jedes Bit eine Steuerleitung! Für die Steuerung der Multiplexer wählen wir die folgenden Codierungen: MUX-ALU MUX-A MUX-Adressbus s Funktion s1 s0 Funktion s Funktion 0 RAM durchschalten 0 0 RAM durchschalten 0 RAM durchschalten 1 Daten im IR durchschalten 0 1 Daten im IR durchschalten 1 Daten im IR durchschalten 1 0 ALU durchschalten Da der Rechner so einfach ist, wählen wir als Befehlholphase einfach eine Taktphase (z. B. T=0), und zur Ausführung die andere. Damit können wir zur Steuerung des Adressbusmultiplexers direkt das Taktsignal nehmen. Die Bits der Befehle wählen wir so, dass sie die restlichen Steuereingänge möglichst direkt codieren: Das erste Bit (A) ergibt die Steuerung des Multiplexers vor der ALU Das zweite und dritte Bit (B und C) ergeben die Steuerung des Multiplexers vor dem Akkumulator. Das vierte Bit (D) steuert die Rechenart der ALU: Addieren (0) oder Subtrahieren (1) Nur die Signale, um den PC bei Sprungbefehlen zu laden bzw. den Akkumulator bei den entsprechenden Operationen müssen über Schaltfunktionen ermittelt werden. Aber auch die sind hier sehr einfach. Es sind nur die Werte angegeben, die für die Funktion des Modellrechners von Bedeutung sind. Wird also z. B. das Ergebnis der ALU gar nicht verarbeitet, dann ist die Steuerung des Multiplexers vor ihrem Eingang egal. Befehl Codierung MUX-A A B C D S1 (=C) S0 (=B) MUX-ALU (=A) A/S (=D) Lade- A LDA wert LDA (adresse) ADA wert ADA (adresse) SBA wert SBA (adresse) STA (adresse) JNZ wert STP Lade- PC

14 Eckart Modrow Entwurf von Modellrechnern S. 14 Für die Steuerleitungen des PCs und des Akkumulators erhalten wir: Lade-A = C D Lade-PC = A B C D ( Akku = 0) (also das Zero-Flag ist nicht gesetzt) 3.7 Arbeiten mit dem Digitalsimulator 5.0: Die Benutzung der einfachen Gatter etc. sollte intuitiv erfassbar sein. Etwas komplizierter sind die Schaltwerke zu verarbeiten. Man erhält sie nicht alle über die Objekt-Palette, sondern teilweise nur über das Kontext-Menü. Dazu geht man wie folgt vor: 1. Ein beliebiges Bauteil auf das Arbeitsblatt ziehen. 2. Mit der rechten Maustaste auf das Bauteil klicken. 3. Im Kontextmenü die Option erweitert auswählen und das gesuchte Bauteil aussuchen. Die für unsere Schaltung erforderlichen Bauteile arbeiten folgendermaßen: RAM (16k x 8Bit) CS R/W Funktion 0 egal keine Änderung 1 Schreiben bei steigender Taktflanke 1 1 Adresswechsel sofort wirksam Register (mit Enable aus der Objektpalette) Enable Funktion Laden bei steigender Taktflanke Zähler ( loadable binary aus der Objektpalette) L C R Funktion egal egal sofort Löschen 0 0 Zählen bei steigender Taktflanke 1 0 Laden bei steigender Taktflanke

15 Eckart Modrow Entwurf von Modellrechnern S Schaltungsaufbau mit dem Digitalsimulator: Das Hauptproblem beim Aufbau einer komplexeren Schaltung ist es, die Übersicht zu behalten. Also sollte man wie folgt vorgehen: 1. Die benötigten Bauteile grob auf dem Arbeitsblatt positionieren, und zwar ALLE! Besonders die Multiplexer benötigen viel Platz. Eine nachträgliche Änderung macht viel Arbeit beim Ziehen der Leitungen. 2. Einzelne Baugruppen verdrahten UND TESTEN! Eine fertige Schaltung, die nicht funktioniert, ist als Ganzes sehr schwer in den Griff zu bekommen. 3. Zuerst die Ladezyklen, dann einzelne Befehle ausprobieren. Erst wenn das funktioniert, Befehlssequenzen testen. Wir beginnen mit einer leeren also noch nicht verdrahteten Schaltung: Taktschalter MUX-ALU mit Invertern für die Subtraktion IR ALU PC MUX-A A RAM MUX- Adressbus Hilfsschalter für die Dateneingabe ins RAM Die nicht bezeichneten Bauelemente dienen speziellen Zwecken, z. B. dem Löschen des PC dem Lade des RAMs zum Dekodieren des Sprungbefehls zum Invertieren des Taktsignals

16 Eckart Modrow Entwurf von Modellrechnern S. 16 Jetzt muss der Befehlszyklus verdrahtet werden. Gezeigt ist die Dateneingabe ins RAM, die Leitungen zum PC und die Beschaltung des Multiplexers für den Adressbus.

17 Eckart Modrow Entwurf von Modellrechnern S. 17 Es folgt die Steuerung der Multiplexer

18 und die Arithmetik. Virtuelle Lehrerweiterbildung Informatik in Niedersachsen Eckart Modrow Entwurf von Modellrechnern S. 18

19 Eckart Modrow Entwurf von Modellrechnern S. 19 Und zuletzt: Der SP10/02 in seiner ganzen Pracht (ohne Speicherbefehl wg. der Hantierbarkeit).

20 Eckart Modrow Entwurf von Modellrechnern S Schaltungsaufbau mit Hasi Statt des Digitalsimulators kann auch der Hardwaresimulator HASI benutzt werden 1. Der Vorteil des Programms ist, dass hier die auch im echten Schaltungsaufbau benutzten TTL-ICs simuliert werden. Dadurch ist das Zeitverhalten etwas anders als beim Digitalsimulator, z. B deshalb, weil intern echte Master-Slave-Flipflops simuliert werden. Taktgesteuerte Schaltungen, die beim Digitalsimulator funktionieren, tun das bei HASI in der Regel nicht und umgekehrt. Da HASI eine Time-Priority-Queue benutzt, verfügen die Bauteile über unterschiedliche Schaltzeiten, so dass z. B. das Schaltverhalten von Flipflops richtig dargestellt wird. Da HASI ein Modellsystem ist verhält es sich absichtlich ziemlich träge. Man kann das Schaltverhalten zu in Ruhe genießen. Als Beispiel der (nicht ganz vollständige) SC10/02 noch mal bei HASI: 1 ACHTUNG: Der ist aber noch nicht ganz fertig!

21 Eckart Modrow Entwurf von Modellrechnern S Aufgaben 1. Erzeugen Sie mithilfe eines Simulationsprogramms a: ein Auffang-FF b: ein JK-Master-Slave-FF 2. Erzeugen Sie mithilfe eines Simulationsprogramms a: ein 4-Bit-Schieberegister b: einen Binärzähler modulo 3 (modulo 4(!), modulo 5, ) c: ein 3-Bit-Register, das parallel Daten laden und diese bei fallenden Taktflanken nach rechts verschieben kann. d: einen Speicher (RAM) mit zwei 3-Bit-Registern 3. Erzeugen Sie mithilfe eine Simulationsprogramms ein umschaltbares Addier-/Subtrahierwerk. 4. Wandeln Sie das Verfahren zur Konstruktion eines Modellrechners auf eine Maschine an, die a: die ägyptische Multiplikation ausführen kann. p 0 SOLANGE b <> 0 TUE b ist ungerade wahr p p + a a 2*a b b div 2 (Ganzzahldivision) falsch b: Reste berechnet. WDH wahr a < b falsch vertausche a und b a a mod b BIS b = 0

Technische Informatik im Theoriekurs

Technische Informatik im Theoriekurs Virtuelle Lehrerweiterbildung Informatik in Niedersachsen Eckart Modrow Didaktik Teil 3 S. 1 Technische Informatik im Theoriekurs Obwohl Themen der technischen Informatik zu den ältesten Inhalten der Schulinformatik

Mehr

Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset

Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset Eckart Modrow Beispiel zum Schaltungsentwurf S. 1 Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset Inhalt: 1. Bezug zum Unterricht 2. Beschreibung durch einen endlichen

Mehr

TECHNISCHE HOCHSCHULE NÜRNBERG GEORG SIMON OHM Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl

Mehr

Informatik 12 Kapitel 3 - Funktionsweise eines Rechners

Informatik 12 Kapitel 3 - Funktionsweise eines Rechners Fachschaft Informatik Informatik 12 Kapitel 3 - Funktionsweise eines Rechners Michael Steinhuber König-Karlmann-Gymnasium Altötting 9. Februar 2017 Folie 1/36 Inhaltsverzeichnis I 1 Komponenten eines PCs

Mehr

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel Prozessorarchitektur Kapitel - Wiederholung M. Schölzel Wiederholung Kombinatorische Logik: Ausgaben hängen funktional von den Eingaben ab. x x 2 x 3 z z = f (x,,x n ) z 2 z m = f m (x,,x n ) Sequentielle

Mehr

Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset

Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset Eckart Modrow Beispiel zum Schaltungsentwurf S. 1 Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset Inhalt: 1. Bezug zum Unterricht 2. Beschreibung durch einen endlichen

Mehr

Von-Neumann-Architektur

Von-Neumann-Architektur Von-Neumann-Architektur Bisher wichtig: Konstruktionsprinzip des Rechenwerkes und Leitwerkes. Neu: Größerer Arbeitsspeicher Ein- und Ausgabewerk (Peripherie) Rechenwerk (ALU) Steuerwerk (CU) Speicher...ppppp...dddddd..

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 3 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 4 AM 21.05.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Prinzipieller Aufbau und Funktionsweise eines Prozessors

Prinzipieller Aufbau und Funktionsweise eines Prozessors Prinzipieller Aufbau und Funktionsweise eines Prozessors [Technische Informatik Eine Einführung] Univ.- Lehrstuhl für Technische Informatik Institut für Informatik Martin-Luther-Universität Halle-Wittenberg

Mehr

1 Aufgaben Wie funktioniert ein Computer. a) Welche Spannungen werden von PC-Netzteilen bereitgestellt? 5W, 12W,

1 Aufgaben Wie funktioniert ein Computer. a) Welche Spannungen werden von PC-Netzteilen bereitgestellt? 5W, 12W, 81 1 Aufgaben Wie funktioniert ein Computer Netzteil a) Welche Spannungen werden von PCNetzteilen bereitgestellt? 3 BV 5W 12W 5 V 12W b) Warum können PCNetzteile hohe Leistungen liefern obwohl die eingebauten

Mehr

Speichern von Zuständen

Speichern von Zuständen Speichern von Zuständen Erweiterung eines R S Latch zu einem D Latch (D=Data, C=Clock) R S altes Q neues Q 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 R S C D altes Q neues Q 0 0 0 0 0 1 0 1 0 0 1

Mehr

Vorstellung (Wdh. für die Neuen )

Vorstellung (Wdh. für die Neuen ) Vorstellung (Wdh. für die Neuen ) Mein Name: Christian Mandery Studiengang: Diplom-Informatik im 4. Semester (ich höre also im Moment selbst noch Technische Informatik 2) E-Mail (bei Fragen und zum Senden

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Mikroprozessor (CPU)

Mikroprozessor (CPU) Mikroprozessor (CPU) Der Mikroprozessor (µp) ist heutzutage das Herzstück eines jeden modernen Gerätes. Er wird in Handys, Taschenrechnern, HiFi-Geräten und in Computern, für die er eigentlich erfunden

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Der von Neumann Computer

Der von Neumann Computer Der von Neumann Computer Grundlagen moderner Computer Technologie 1 Der moderne Computer ein weites Spektrum Typ Preis Anwendungsbeispiel embeded Computer 10-20 $ in Autos, Uhren,... Spielcomputer 100-200$

Mehr

Mikrocomputertechnik

Mikrocomputertechnik Mikrocomputertechnik Bernd-Dieter Schaaf Mit Mikrocontrollern der Familie 8051 ISBN 3-446-40017-6 Leseprobe Weitere Informationen oder Bestellungen unter http://www.hanser.de/3-446-40017-6 sowie im Buchhandel

Mehr

Computer - Aufbau u. Funktionsweise

Computer - Aufbau u. Funktionsweise Teil 3 Folie: 1 Ein Computerarbeitsplatz Teil 3 Folie: 2 Was ist in der Box? Hauptplatine, Motherboard Das Bussystem Teil 3 Folie: 3 Unter einem Bussystem (oder kurz einem Bus) versteht man bei einem PC

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur Prozessor Übersicht Datenpfad Control Pipelining Data Hazards Control Hazards Multiple Issue Grundlagen der Rechnerarchitektur Prozessor 2 Datenpfad einer einfachen MIPS

Mehr

6 Schaltwerke und endliche Automaten

6 Schaltwerke und endliche Automaten 6 Schaltwerke und endliche Automaten 6.1 Entwicklungsstufen 143 In diesem Abschnitt wird gezeigt, wie das Blockschaltbild aus 1.4 realisiert werden kann. Mithilfe der entwickelten Speicherbausteine und

Mehr

Abschlussklausur Informatik, SS 2012

Abschlussklausur Informatik, SS 2012 Abschlussklausur Informatik, SS 202 09.07.202 Name, Vorname: Matr.-Nr.: Unterschrift: Zugelassene Hilfsmittel: außer Stift und Papier keine Hinweis: Geben Sie bei allen Berechnungen den vollständigen Rechenweg

Mehr

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller SS 2004 VAK 18.004 Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller Aufgabenblatt 2.5 Lösung 2.5.1 Befehlszähler (Program Counter, PC) enthält Adresse des nächsten auszuführenden

Mehr

Microcomputertechnik

Microcomputertechnik Microcomputertechnik mit Mikrocontrollern der Familie 8051 Bearbeitet von Bernd-Dieter Schaaf 2. Auflage 2002. Buch. 230 S. Hardcover ISBN 978 3 446 22089 8 Format (B x L): 16 x 22,7 cm Gewicht: 407 g

Mehr

Vorlesung Rechnerarchitektur. Einführung

Vorlesung Rechnerarchitektur. Einführung Vorlesung Rechnerarchitektur Einführung Themen der Vorlesung Die Vorlesung entwickelt an Hand von zwei Beispielen wichtige Prinzipien der Prozessorarchitektur und der Speicherarchitektur: MU0 Arm Speicher

Mehr

Technische Informatik mit Delphi

Technische Informatik mit Delphi Eckart Modrow Technische Informatik mit Delphi für Unterricht und Selbststudium technische Aspekte des Internets Schaltnetze und Schaltwerke Mikrocomputersysteme Simulation digitaler Schaltungen endliche

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) Gegeben ist ein binärer Taschenrechner (siehe Abb. 1), der als Eingabe die Tasten 0, 1, +, - und = und

Mehr

Lösung 5. Übungsblatt

Lösung 5. Übungsblatt Fakultät Informatik, Technische Informatik, Lehrstuhl für Eingebettete Systeme Lösung 5. Übungsblatt Entwicklung eines Mikroprogrammsteuerwerks und Maschinen-programmierung für einen einfachen Rechner.

Mehr

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prof. Dr. W. Schimann, Prof. Dr. J. Keller 14.09.2013 1 FernUniversität Hagen Prüfungsklausur Computersysteme 14.09.2013 Seite 2 Inhaltsverzeichnis 1

Mehr

Carry Lookahead Adder

Carry Lookahead Adder Carry Lookahead Adder Mittels der Generate und Propagate Ausdrücke lässt ich dann für jede Stelle i der Carry (Übertrag) für die Stelle i+1 definieren: Für einen 4 Stelligen Addierer ergibt sich damit:

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Die Branch Instruktion beq Grundlagen der Rechnerarchitektur Prozessor 13 Betrachten nun Branch Instruktion beq Erinnerung, Branch Instruktionen beq ist vom I Typ Format:

Mehr

Übung 7 Rechnerstrukturen

Übung 7 Rechnerstrukturen Übung 7 Rechnerstrukturen Aufgabe 7.: X X2 X3 X4 F F2 F3 F4 X-X4 sind alle möglichen Binär-Werte. F-F2 ist das Ergebnis der Multiplikation von (XX2) und (X3X4). Dabei funktioniert die Multimpliation folgendermaßen:

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Die Branch Instruktion beq Grundlagen der Rechnerarchitektur Prozessor 13 Betrachten nun Branch Instruktion beq Erinnerung, Branch Instruktionen beq ist vom I Typ Format:

Mehr

Klausur Technische Informatik 1 WS 2015/2016 Prüfer: Sutter Hilfsmittel: keine

Klausur Technische Informatik 1 WS 2015/2016 Prüfer: Sutter Hilfsmittel: keine Name:. Matrikel-Nr. Anzahl der Aufgaben: 21 Maximal erreichbare Punktezahl: 60 Ergebnis: 1. Was versteht man unter Dotierung von reinem Silizium mit Donatoren? (Bitte ankreuzen, eine oder mehrere Antworten

Mehr

3.8 Sequentieller Multiplizierer 159

3.8 Sequentieller Multiplizierer 159 .8 Sequentieller Multiplizierer 59 Nachfolgende Abbildung zeigt den (unvollständigen) Aufbau einer Schaltung zur Implementierung des gezeigten Multiplikationsverfahrens. b) Vervollständigen Sie die Schaltung

Mehr

Digitaltechnik Grundlagen 5. Elementare Schaltnetze

Digitaltechnik Grundlagen 5. Elementare Schaltnetze 5. Elementare Schaltnetze Version 1.0 von 02/2018 Elementare Schaltnetze Dieses Kapitel beinhaltet verschiedene Schaltnetze mit speziellen Funktionen. Sie dienen als Anwendungsbeispiele und wichtige Grundlagen

Mehr

Teil 2: Rechnerorganisation

Teil 2: Rechnerorganisation Teil 2: Rechnerorganisation Inhalt: Zahlendarstellungen Rechnerarithmetik schrittweiser Entwurf eines hypothetischen Prozessors mit Daten-, Adreß- und Kontrollpfad Speicherorganisation Mikroprogrammierung

Mehr

10 Versuch Nr Anmerkungen zum Versuch Nr. 8

10 Versuch Nr Anmerkungen zum Versuch Nr. 8 10 Versuch Nr. 8 10.1 Anmerkungen zum Versuch Nr. 8 Während der letzten 4 Versuche haben Sie sich mit dem detaillierten Rechner-Entwurf beschäftigt. Im letzten Versuch konnten Sie abschließend einen kleinen

Mehr

Klausur "Informatik I" vom Teil "Rechnerstrukturen"

Klausur Informatik I vom Teil Rechnerstrukturen Seite 1 von 6 Seiten Klausur "Informatik I" vom 20.2.2001 Teil "Rechnerstrukturen" Aufgabe 1: Binäre Informationsdarstellung (18 Punkte) 1.1 Gleitkommazahlen: Gegeben sei eine 8-bit Gleitkommazahl-Darstellung

Mehr

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2016 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 Schaltfunktionen (11 Punkte):

Mehr

3. RAM als Rechnermodell

3. RAM als Rechnermodell 3. RAM als Rechnermodell Motivation Wir möchten Berechnungsvorschriften (Algorithmen) formal beschreiben und deren Eigenschaften wie Korrektheit und Laufzeit analysieren Rechnermodell abstrahiert vom verwendeten

Mehr

2 Initialisierung clk_mkand= clk_produkt= multiplexer= init/>>1= 6 Schieben clk_mkand= clk_produkt= multiplexer= init/>>1=

2 Initialisierung clk_mkand= clk_produkt= multiplexer= init/>>1= 6 Schieben clk_mkand= clk_produkt= multiplexer= init/>>1= Arithmetische Schaltungen c) Vervollständigen Sie nachfolgend abgebildeten Zustands-Automaten so, dass er den Multiplizierer wie gewünscht steuert. Nehmen Sie an, dass Sie zur Detektion des Schleifen-Abbruchs

Mehr

1. TÜ-Zusammenfassung zum Modul Computersysteme

1. TÜ-Zusammenfassung zum Modul Computersysteme 1. TÜ-Zusammenfassung zum Modul Computersysteme Kurzzusammenfassung 1. Kapitel Netzteil: Aufbau: Bereitgestellte Spannungen: 12V, -12V, 5V, -5V und 3.3V Leistung: Da bei Transformatoren die übertragbare

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Geräteentwurf mit Mikroprozessoren 1

Geräteentwurf mit Mikroprozessoren 1 Geräteentwurf mit Mikroprozessoren 1 Vorlesung am Institut für Elektronik der TU Graz Dipl.-Ing. Dr. Gerhard Stöckler SS 2003 Vorausgesetzte Kenntnisse: Grundlagen der Digitaltechnik Binäre Informationsdarstellung

Mehr

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Steuerwerk einer CPU Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Implementierung des Datenpfads Direkte Implementierung Mikroprogrammierung

Mehr

ALU ALU. ALU-Aufbau. Eine ALU (arithmetisch-logische Einheit) besteht in der Regel aus. Addierer. Logischer Einheit. Shifter

ALU ALU. ALU-Aufbau. Eine ALU (arithmetisch-logische Einheit) besteht in der Regel aus. Addierer. Logischer Einheit. Shifter ALU ALU-Aufbau Eine ALU (arithmetisch-logische Einheit) besteht in der Regel aus Addierer Logischer Einheit Shifter Eingänge in eine ALU: zwei Operanden, Instruktionscode OP1 OP0 Ausgänge einer ALU: Ergebnis,

Mehr

Zur Multiplikation von Gleitkommazahlen müssen die Mantissen inkl. führender 1, als Festkommazahlen multipliziert werden.

Zur Multiplikation von Gleitkommazahlen müssen die Mantissen inkl. führender 1, als Festkommazahlen multipliziert werden. 70 Arithmetische Schaltungen Multiplikation vorzeichenbehafteter Zahlen Zur Multiplikation vorzeichenbehafteter Zahlen (er-komplement) kann auf die Schaltung für vorzeichenlose Multiplikation zurückgegriffen

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

Klausur "Informatik I" vom Teil "Rechnerstrukturen"

Klausur Informatik I vom Teil Rechnerstrukturen Seite 1 von 6 Seiten Klausur "Informatik I" vom 19.2.1999 Teil "Rechnerstrukturen" Aufgabe 1: Binäre Informationsdarstellung (18 Punkte) Folgende Gleitkommadarstellung werde im folgenden zugrundegelegt

Mehr

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Von-Neumann-Rechner (John von Neumann : 1903-1957) C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Quelle: http://www.cs.uakron.edu/~margush/465/01_intro.html Analytical Engine - Calculate

Mehr

Kapitel 2 - Codierer und Multiplexer

Kapitel 2 - Codierer und Multiplexer Kapitel 2 - Codierer und Multiplexer Kombinatorische Schaltungen Definition nach DIN 44300/93: Ein Schaltnetz oder kombinatorischer Funktionsblock ist eine Funktionseinheit zum Verarbeiten von Schaltvariablen,

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO-VON-GUERICKE-UNIVERSITÄT MAGDEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Grundlagen der Informatik Studiengang: Bachelor (CV / CSE / IF / WIF) am: 19. Juli 2008 Bearbeitungszeit:

Mehr

Minimierung nach Quine Mc Cluskey

Minimierung nach Quine Mc Cluskey Minimierung nach Quine Mc Cluskey F(A,B,C,D) =!A!B!C!D +!A!B!C D +!A B!C!D +!A B!C D +!A B C!D +!A B C D + A!B!C!D + A!B!C D + A!B C D + A B C D Notiere die Funktion als # A B C D Gruppe Binärelemente

Mehr

INFORMATIK Oberstufe. Funktionsweise eines Rechners

INFORMATIK Oberstufe. Funktionsweise eines Rechners INFORMATIK Oberstufe Funktionsweise eines Rechners Lehrplan Inf 12.3 (ca. 17 Std.): Grundlegende Kenntnisse über den Aufbau eines Rechners und seiner prinzipiellen Funktionsweise helfen den Schülern, den

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Zugriff auf den Datenspeicher Grundlagen der Rechnerarchitektur Prozessor 19 Betrachten nun Load und Store Word Erinnerung, Instruktionen lw und sw sind vom I Typ Format:

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2002 Hauck / Guenkova-Luy / Prager / Chen Übungsblatt 5 Rechenwerke / Scheduling

Übungen zur Vorlesung Technische Informatik I, SS 2002 Hauck / Guenkova-Luy / Prager / Chen Übungsblatt 5 Rechenwerke / Scheduling Übungen zur Vorlesung Technische Informatik I, SS 2002 Hauck / Guenkova-Luy / Prager / Chen Übungsblatt 5 Rechenwerke / Scheduling Aufgabe 1: Sie haben in der Vorlesung einen hypothetischen Prozessor kennen

Mehr

Kapitel 2. Kombinatorische Schaltungen. Codierer und Decodierer

Kapitel 2. Kombinatorische Schaltungen. Codierer und Decodierer Kapitel 2 Kombinatorische Schaltungen Definition nach DIN 44300/93 Ein Schaltnetz oder kombinatorischer Funktionsblock ist eine Funktionseinheit zum Verarbeiten von Schaltvariablen, deren Wert am Ausgang

Mehr

Kap 4. 4 Die Mikroprogrammebene eines Rechners

Kap 4. 4 Die Mikroprogrammebene eines Rechners 4 Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten (Befehl holen, Befehl dekodieren, Operanden holen etc.).

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

2. Computer (Hardware) K. Bothe, Institut für Informatik, HU Berlin, GdP, WS 2015/16

2. Computer (Hardware) K. Bothe, Institut für Informatik, HU Berlin, GdP, WS 2015/16 2. Computer (Hardware) K. Bothe, Institut für Informatik, HU Berlin, GdP, WS 2015/16 Version: 14. Okt. 2015 Computeraufbau: nur ein Überblick Genauer: Modul Digitale Systeme (2. Semester) Jetzt: Grundverständnis

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlagen der Informatik Logische und mathematische Grundlagen Digitale Daten Computerprogramme als Binärdaten von Neumann-Rechnerarchitektur Einführung in Maschinen-Code Speicherorganisation Betriebssysteme

Mehr

4 Der Von-Neumann-Rechner als Grundkonzept für Rechnerstrukturen

4 Der Von-Neumann-Rechner als Grundkonzept für Rechnerstrukturen 4 Der Von-Neumann-Rechner als Grundkonzept für Rechnerstrukturen Ein Rechner besteht aus den folgenden Bestandteilen: Rechenwerk Rechenoperationen wie z.b. Addition, Multiplikation logische Verknüpfungen

Mehr

Offenbar hängt das Ergebnis nur von der Summe der beiden Argumente ab...

Offenbar hängt das Ergebnis nur von der Summe der beiden Argumente ab... 0 1 2 0 2 1 1 2 0 2 1 0 Offenbar hängt das Ergebnis nur von der Summe der beiden Argumente ab... 0 1 2 0 1 2 1 1 3 2 2 3 212 Um solche Tabellen leicht implementieren zu können, stellt Java das switch-statement

Mehr

Fachbereich Medienproduktion

Fachbereich Medienproduktion Fachbereich Medienproduktion Herzlich willkommen zur Vorlesung im Studienfach: Grundlagen der Informatik Themenübersicht Rechnertechnik und IT Sicherheit Grundlagen der Rechnertechnik Prozessorarchitekturen

Mehr

Prozessor HC680 fiktiv

Prozessor HC680 fiktiv Prozessor HC680 fiktiv Dokumentation der Simulation Die Simulation umfasst die Struktur und Funktionalität des Prozessors und wichtiger Baugruppen des Systems. Dabei werden in einem Simulationsfenster

Mehr

Rechnergrundlagen. Vom Rechenwerk zum Universalrechner. von Prof. Dr. Rainer Kelch. Fachbuchverlag Leipzig im Carl Hanser Verlag

Rechnergrundlagen. Vom Rechenwerk zum Universalrechner. von Prof. Dr. Rainer Kelch. Fachbuchverlag Leipzig im Carl Hanser Verlag Rechnergrundlagen Vom Rechenwerk zum Universalrechner von Prof. Dr. Rainer Kelch mit 118 Bildern, 44 Tabellen, 11 Beispielen, 15 Aufgaben und einer CD-ROM ГЯ Fachbuchverlag Leipzig im Carl Hanser Verlag

Mehr

Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (2) Architektur des Haswell- Prozessors (aus c t) Einführung

Mehr

Fakultät Angewandte Informatik Lehrprofessur für Informatik Übungen zur Vorlesung Informatik I, Blatt 2 - Musterlösung

Fakultät Angewandte Informatik Lehrprofessur für Informatik Übungen zur Vorlesung Informatik I, Blatt 2 - Musterlösung WS 2011/2012 Fakultät Angewandte Informatik Lehrprofessur für Informatik 31.10.2011 Prof. Dr. Robert Lorenz Übungen zur Vorlesung Informatik I, Blatt 2 - Musterlösung Aufgabe 5 * Wenden Sie den in der

Mehr

b i Ergänzung zu Vollkonjunktionen (ohne Indizierung i = 0... n-1): q = a b a b q = a b q = a b a b a b

b i Ergänzung zu Vollkonjunktionen (ohne Indizierung i = 0... n-1): q = a b a b q = a b q = a b a b a b Ansatz: Die Realisierung von arithmetischen Operationen mit Logikgattern führt zu ähnlichen Verarbeitungsstrukturen für arithmetische und logische Befehle Parallele Zahlwort/oder Logikverarbeitung ist

Mehr

Aufbau eines Taschenrechners

Aufbau eines Taschenrechners siehe Skizze Aufbau einer Waage siehe Skizze Speichermöglichkeit Aufbau eines Taschenrechners Speichermöglichkeit Adressbus 65536 (2 16 ) (2 wegen der Zustände =aus und 1=an) => 65536 Möglichkeiten =>

Mehr

Rechnergrundlagen. Vom Rechenwerk zum Universalrechner

Rechnergrundlagen. Vom Rechenwerk zum Universalrechner Rechnergrundlagen. Vom Rechenwerk zum Universalrechner von Rainer Kelch 1. Auflage Hanser München 2003 Verlag C.H. Beck im Internet: www.beck.de ISBN 978 3 446 22113 0 Zu Leseprobe schnell und portofrei

Mehr

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle # A B C D OK m9 + m11 1 0 1 P1 m7 + m15 1 1 1 P2 m11 + m15 1 1 1 P3 m0 + m1 + m4 + m5 0 0 P4 m0 + m1 + m8 + m9 0 0 P5 m4 + m5 + m6 + m7 0

Mehr

3. Rechnerarchitektur

3. Rechnerarchitektur ISS: EDV-Grundlagen 1. Einleitung und Geschichte der EDV 2. Daten und Codierung 3. Rechnerarchitektur 4. Programmierung und Softwareentwicklung 5. Betriebssyteme 6. Internet und Internet-Dienste 3. Rechnerarchitektur

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Kapitel 5 - Datenübertragung

Kapitel 5 - Datenübertragung Kapitel 5 - Datenübertragung Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und

Mehr

Multiplikationschip. Multiplikation. Beitrag zu "Werkstattunterricht Multiplikation" Allgemeine Didaktik - Seminar SS95. Oberwiesenstr.

Multiplikationschip. Multiplikation. Beitrag zu Werkstattunterricht Multiplikation Allgemeine Didaktik - Seminar SS95. Oberwiesenstr. Informationsblatt für die Lehrkraft Multiplikation Multiplikationschip Beitrag zu "Werkstattunterricht Multiplikation" Allgemeine Didaktik - Seminar SS95 Autor: Ernesto Ruggiano Oberwiesenstr. 42 85 Zürich

Mehr

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik.

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik. ÜBUNGSAUFGABENSAMMLUNG DIGITALTEHNIK 1 Aufgabe 1 Erklären Sie kurz die Begriffe Wrap-Around-Arithmetik und Sättigungsarithmetik. Berechnen Sie die Ergebnisse der folgenden Rechenoperationen gemäß Wrap-Around-Arithmetik.

Mehr

Grundlegendes zum PC

Grundlegendes zum PC Grundlegendes zum PC Grundsätzlicher Aufbau eines PC Bild eines PC Beschreibung Eingabegeräte Ausgabegeräte Speicher Sonstige Bild eines PC Beschreibung Sind alle gleich die PC Sind in 3 bereiche eingeteilt:

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Einführung in die Informatik

Einführung in die Informatik Einführung in die Informatik Dipl.-Inf., Dipl.-Ing. (FH) Michael Wilhelm Hochschule Harz FB Automatisierung und Informatik mwilhelm@hs-harz.de http://www.miwilhelm.de Raum 2.202 Tel. 03943 / 659 338 FB

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.15 Fan-In und Fan-Out 2.16 Standard-Schaltnetze

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.15 Fan-In und Fan-Out 2.16 Standard-Schaltnetze Computersysteme 2. Grundlagen Digitaler Schaltungen 2.5 Fan-In und Fan-Out 2.6 Standard-Schaltnetze 2.5 Fan-In und Fan-Out: Fan-In: Die Anzahl der Eingänge in ein Gatter. Bestimmt die Anzahl der Transistoren

Mehr

, 2014W Übungsgruppen: Mo., Mi.,

, 2014W Übungsgruppen: Mo., Mi., VU Technische Grundlagen der Informatik Übung 5: ikroprozessor (icro16) 183.579, 2014W Übungsgruppen: o., 01.12. i., 03.12.2014 Aufgabe 1: Schaltwerksentwicklung Hexapod / Teil 2 a) Befüllen Sie die untenstehende

Mehr

Informatikgrundlagen I Grundlagen der Informatik I

Informatikgrundlagen I Grundlagen der Informatik I Informatikgrundlagen I Grundlagen der Informatik I Dipl.-Inf. Michael Wilhelm Hochschule Harz FB Automatisierung und Informatik mwilhelm@hs-harz.de Raum 2.202 Tel. 03943 / 659 338 1 Inhalt 1. Einführung,

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

Zwischenklausur Informatik, WS 2016/17. Lösungen zu den Aufgaben

Zwischenklausur Informatik, WS 2016/17. Lösungen zu den Aufgaben Zwischenklausur Informatik, WS 206/7 4.2.206 Lösungen zu den Aufgaben. Gegeben sind folgende Dualzahlen in Zweierkomplementdarstellung. Geben Sie den jeweils zugehörigen Dezimalwert an! a) entspricht der

Mehr

Prüfungsklausur 1608 WS 2013/2014

Prüfungsklausur 1608 WS 2013/2014 Prüfungsklausur 1608 WS 2013/2014 Prof. Dr. J. Keller 22.03.2014 FernUniversität Hagen Prüfungsklausur Computersysteme 22.03.2014 Seite I- 1 Bewertungsschema Aufgabe a b c d e total I-1 3 4 1 2 2 12 I-2

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 217/218 Lösungsvorschlag

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr