Prozessor- und Rechnerarchitekturen (Master)

Größe: px
Ab Seite anzeigen:

Download "Prozessor- und Rechnerarchitekturen (Master)"

Transkript

1 Prozessor- und Rechnerarchitekturen (Master) Themen am : Was ist ein DSP? Wo werden DSPs eingesetzt? Welche Anforderungen resultieren daher an DSPs? Besonderheiten, Techniken: Filtern, FFT (Transformationen) Beispiele: DSPs von Texas Instruments, Analog Devices ProRecArc17_V7 Ulrich Schaarschmidt HS Düsseldorf, SS 2017 Literatur The Scientist and Engineer's Guide to Digital Signal Processing By Steven W. Smith, Ph.D. (genial, man kann das Buch sogar kostenfrei downloaden ansonsten 640 S. Hardcover) Doblinger, Gerhard: Signalprozessoren, Schlembach Fachverlag Wilburgstetten Hermann, Paul: Rechnerarchitektur, Vieweg Teubner Verlag, Wiesbaden, Ohm, Lüke: Signalübertragung, Springer Verlag, Berlin, McClellan, James H.; Schafer, Ronald W.; Yoder, Mark A.: Signal Processing First 2003, Pearson Education International U.G. Schaarschmidt - HS-D 2 HS Düsseldorf (c) U.Schaarschmidt 1

2 Literatur Meffert, B.; Hochmuth, O.: Werkzeuge der Signalverarbeitung 2004, Pearson Studium, processors/more-processors/dsp-dsc:dsp- DSC?fsrch=1&sr=1&pageNum=1 rithm U.G. Schaarschmidt - HS-D 3 WAS IST EIN DSP? Mikroprozessor mit besonderer Architektur und Anpassungen an die digitale Signalverarbeitung, Besondere Befehle, die aus mehreren kleinen Befehlen zusammengesetzt sind, aber gleichzeitig abgearbeitet werden, Optimierung des Datendurchsatzes, Spezialregister, die für mathematische Operationen optimiert sind, U.G. Schaarschmidt - HS-D 4 HS Düsseldorf (c) U.Schaarschmidt 2

3 EINSATZGEBIETE FÜR DSPs Audiotechnik, Bild-/Videotechnik, Kommunikationstechnik, Regelungstechnik, Luft- und Raumfahrt, Defense (z.b. Radar), Beispiele: Smartphones, Automotive, digitale Regelkreise, Echtzeitanwendungen, Active- Noise-Reduction, Machine vision and analytics, Medical Imaging, Software Defined Radio U.G. Schaarschmidt - HS-D 5 WESENTLICHE AUFGABE/ ANFORDERUNGEN AN DSP Daten einlesen, verarbeiten und ausgeben Soll häufig in Echtzeit erfolgen ( = innerhalb vorgegebener Zeitschranken) Signalverarbeitung optimieren: Filtern (nicht-rekursiv: IIR, rekursiv: FIR) Berechnung mehrerer Filter-Taps gleichzeitig MAC (Multiply, Accumulate) DFT, weitere Transformationen U.G. Schaarschmidt - HS-D 6 HS Düsseldorf (c) U.Schaarschmidt 3

4 BESONDERHEITEN VON DSP Spezieller Befehlssatz (Instruction Set): MAC, grundsätzlich: Mathematik, Data Instructions: Saturation Arithmetic (Überläufe verhindern, z.b. aufgrund von Instabilität), Architektur: modifizierte Harvard-Architektur, Gleichzeitiges Lesen von 2 *Daten und 1* Code, Signalausschnitt ( laufende Fensterung): -> Ringpuffer (Circular Buffers) U.G. Schaarschmidt - HS-D 7 FILTERN MAC (multiply accumulate) Zwischenspeichern in Accumulator Register, Durchführung in höchstens 1 Zyklus -> beschleunigt Berechnung der diskreten Faltung (-> FIR, IIR) Data Instructions: Saturation Arithmetic (z.b. bei IIR-Filter sinnvoll) Ringspeicher (Circular Buffer, -> FIFO) U.G. Schaarschmidt - HS-D 8 HS Düsseldorf (c) U.Schaarschmidt 4

5 DFT WITH DSP DFT: Radix-2: Motivation: Reduzierung des Rechenaufwands von Ο(M2) auf Ο(M log2(m)) Combinations -> Butterfly Algorithmus Bsp.: 8 Input-Samples -> 3 Stages (später!) Bit-reversal addressing (FFT) MAC Operations Nächste Folie U.G. Schaarschmidt - HS-D 9 DFT: Sdk= sd(n) WMknM 1n=0 mit WM= e j2πf,m=gerade. -> Zerlegung der Fourier- Transformation in gerade und ungerade Adressen: Dies kann log2(m) mal durchgeführt werden! (M ist U.G. Schaarschmidt Zweierpotenz) - HS-D 10 HS Düsseldorf (c) U.Schaarschmidt 5

6 Butterfly - Algorithmus U.G. Schaarschmidt - HS-D 11 Modifizierte Harvard-Architektur Instruction Cache -I/O Controller -Data und Program Memory -Busse U.G. Schaarschmidt - HS-D 12 HS Düsseldorf (c) U.Schaarschmidt 6

7 DSPs im Überblick Texas Instruments: TMS 32C20, 25, 30, ; C6000: C66x, C5000: C55x Analog Devices: TigerSHARC, Blackfin; (NXP, Freescale, ) oder auch DSP in Kombination mit Mikrocontrollern: Microchip U.G. Schaarschmidt - HS-D 13 Die TI C6000-Familie Leistungsstarke DSP-Familie von Texas Instruments Superskalare Architektur: VLIW Bis zu 8 Maschinenbefehle pro Taktzyklus ausführbar (C62xx/C67xx) C66x world's fastest floating point DSP core with devices ranging from single core C6652 to octal core C6678 and supporting core speeds up to 1.4GHz C674x energy efficient floating point DSP with power consumption < 1W C64x single and multicore fixed point DSPs with speeds up to 1.2 GHz U.G. Schaarschmidt - HS-D 14 HS Düsseldorf (c) U.Schaarschmidt 7

8 Die optimierte C6000-Familie Best in-class GFLOPS/W 456Mhz to 1.4GHz Single to octal core U.G. Schaarschmidt - HS-D U.G. Schaarschmidt - HS-D 16 HS Düsseldorf (c) U.Schaarschmidt 8

9 C wofür? Avionics and defense, Machine vision and analytics, Grid infrastructure, Medical imaging, Software defined radio (SDR), Communications Systems, Embedded and Cloud Analytics, Multimedia Infrastructure U.G. Schaarschmidt - HS-D U.G. Schaarschmidt - HS-D 18 HS Düsseldorf (c) U.Schaarschmidt 9

10 U.G. Schaarschmidt - HS-D U.G. Schaarschmidt - HS-D 20 HS Düsseldorf (c) U.Schaarschmidt 10

11 U.G. Schaarschmidt - HS-D 21 C6000 DSP + ARM Devices OMAP-L138 66AK2G02 66AK2E05 66AK2L06 66AK2H14 ARM core(s) 1x ARM9 (456MHz) 1x Cortex-A15 (600MHz) 4x Cortex-A15 (1.4GHz) 2x Cortex-A15 (1.2GHz) 4x Cortex-A15 (1.4GHz) # of cores (Max frequency) DSP core(s) 1x C647x (456 MHz) 1x C66x (600 MHz) 1x C66x (1.2 GHz 4x C66x (1.2 GHz) 8x C66x (1.2 GHz) DMIPS 456 2,100 19,600 8,400 19,600 Max Performance GFLOPS GMACS Shared SRAM 128 KB 1 MB 2 MB 3 MB 6 MB 1x 10/100 1x 100/1000 8x 100/1000 4x 100/1000 4x 100/1000 Ethernet 10 Gigabit Ethernet lanes -- 2 lanes Serial RapidIO Yes Unique Peripherals McASP PRU-ICSS McASP -- JESD204B DFE FFT -- Case Temperature -40 C to 100 C -40 C to 125 C -40 C to 100 C U.G. Schaarschmidt - HS-D 22 HS Düsseldorf (c) U.Schaarschmidt 11

12 Performanz-Vergleich U.G. Schaarschmidt - HS-D 23 Performanz und Anwendungen ARM + DSP performance from 456MHz to 1.2GHz, Up to 200 GFLOPS, Single core to multicore ARM Cortex-A15, Industrial Automation, Radar, Single Board Computing, Machine Vision, U.G. Schaarschmidt - HS-D 24 HS Düsseldorf (c) U.Schaarschmidt 12

13 C5000 Ultra Low Power DSP Ultra-low-power DSP Standby: 0.15 mw Active: 0.15mW/MHz DSP MHz: MHz FFT: up to 1024 pt real and complex (16bit) U.G. Schaarschmidt - HS-D 25 C5000 DSPs C5504/5 C5514/15 C5517 C553x C5545 DSP MHz 60/75/100/120/ / /100 60/100/ /150/200/240/ / / /200 MMACS 0.33 mw/mhz 0.22 mw/mhz 0.54 mw/mhz 0.15 mw/mhz 0.15 mw/mhz Power consumption (typ.) Memory 320KB, EMIF 320KB, EMIF 320KB, EMIF 320KB 320KB Chip-Support Library Chip-Support Library Chip-Support Library Chip-Support Library Chip-Support Library Software USB, LCD, SAR ADC USB, LCD, SAR ADC USB, LCD, SAR ADC, McBSP, USB, LCD, SAR ADC USB, LCD, SAR ADC McSPI Key features FFT FFT FFT FFT FFT Co-processor Audio, industrial controls, Audio, industrial controls, Audio, software defined radio, Wearables, audio, voice Wearables, audio, voice Applications portable medical devices, software defined radio portable medical devices, software defined radio vision analytics analytics, speech recognition analytics, speech recognition U.G. Schaarschmidt - HS-D 26 HS Düsseldorf (c) U.Schaarschmidt 13

14 Wofür C5000? Wearables, Audio, Portable medical devices, Voice analytics, SDR Software Defined Radio, Industrial Controls, Vision Analytics, Voice Analytics, Speech Recognition U.G. Schaarschmidt - HS-D 27 TIGER SHARC von ANALOG DEVICES SHARC = Super Harvard Architecture Single-Chip Computer (SHARC) Beispiel: ADSP-TS101S: 300 MHz 6M bits on-chip-sram (750 kbyte) 14-channel DMA Controller Multiprocessing possible U.G. Schaarschmidt - HS-D 28 HS Düsseldorf (c) U.Schaarschmidt 14

15 U.G. Schaarschmidt - HS-D U.G. Schaarschmidt - HS-D 30 HS Düsseldorf (c) U.Schaarschmidt 15

16 U.G. Schaarschmidt - HS-D 31 Ausblick: ADSP-SC589 Dual-core SHARC+ und ARM Cortex-A5 SOC U.G. Schaarschmidt - HS-D 32 HS Düsseldorf (c) U.Schaarschmidt 16

Redaktioneller Beitrag in Zusammenarbeit mit Texas Instruments, Herrn Florian Gross 06.01.16

Redaktioneller Beitrag in Zusammenarbeit mit Texas Instruments, Herrn Florian Gross 06.01.16 Redaktioneller Beitrag in Zusammenarbeit mit Texas Instruments, Herrn Florian Gross 06.01.16 PHYTEC Messtechnik GmbH Robert-Koch-Straße 39 55129 Mainz Telefon: 06131 9221-32 Telefax: 06131 9221-33 Email:

Mehr

Erfolg mit Embedded Vision Systemen. Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1

Erfolg mit Embedded Vision Systemen. Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1 Erfolg mit Embedded Vision Systemen Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1 Erfolg mit Embedded Vision Systemen Embedded Prozessoren vs. X86er Derivate DSP vs. FPGA vs. GPP wer ist geeigneter

Mehr

EyeCheck Smart Cameras

EyeCheck Smart Cameras EyeCheck Smart Cameras 2 3 EyeCheck 9xx & 1xxx Serie Technische Daten Speicher: DDR RAM 128 MB FLASH 128 MB Schnittstellen: Ethernet (LAN) RS422, RS232 (nicht EC900, EC910, EC1000, EC1010) EtherNet / IP

Mehr

Samsungs Exynos 5 Dual

Samsungs Exynos 5 Dual Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Samsungs Exynos 5 Dual Candy Lohse Dresden, 12.12.12 Gliederung 1. Motivation und

Mehr

JAEMACOM Berlin. Benjamin Schantze IGEL Technology GmbH

JAEMACOM Berlin. Benjamin Schantze IGEL Technology GmbH JAEMACOM Berlin Benjamin Schantze IGEL Technology GmbH Agenda IGEL Technology GmbH Der Universal Desktop Ansatz IGEL Hardware / Software New UD2 LX MM Preview Q4 2012 / 2013 Universal Management Suite

Mehr

Innovative Technik, die begeistert. Umweltfreundlich, effizient, individuell. Vielseitigkeit ist Trumpf mit den Anwendungsgebieten des LES v2

Innovative Technik, die begeistert. Umweltfreundlich, effizient, individuell. Vielseitigkeit ist Trumpf mit den Anwendungsgebieten des LES v2 Company / Product name THOMAS-KRENN.AG / LES v2 - Low Energy Server Group Configurable Solutions Description Der sparsame Low Energy Server v2 von Thomas-Krenn überzeugt auf ganzer Linie: Zahlreiche Anwendungsgebiete,

Mehr

Computer: PC. Informationstechnik für Luft-und Raumfahrt Aerospace Information Technology

Computer: PC. Informationstechnik für Luft-und Raumfahrt Aerospace Information Technology Computer: PC Informationstechnik für Luft-und Raumfahrt Ab Morgen nur eingebete Systeme Aber es gibt auch PCs Na gut... dann Heute. dann haben wir es hinter uns Und nicht wenige! PCs in N Jahren Industrie

Mehr

Vortrag zum Proseminar Architekturen der ARM CORTEX Familie

Vortrag zum Proseminar Architekturen der ARM CORTEX Familie Vortrag zum Proseminar Architekturen der ARM OREX Familie Sebastian Krannich e 1 e nstitut für echnische nformatik http://www.inf.tu-dresden.de/e/ 11.06.2008 nhalt 1. Einleitung 2. ARM ortex Familie 3.

Mehr

Die Vision Landschaft und was sie mit Moore s Gesetz zu tun hat

Die Vision Landschaft und was sie mit Moore s Gesetz zu tun hat Die Vision Landschaft und was sie mit Moore s Gesetz zu tun hat Horst A. Mattfeldt Produkt Manager Matrix Vision GmbH V1.0 10/2010 MATRIX VISION GmbH 1 Inhalt/Content: Vom Vision Sensor über Atom Boxen

Mehr

Lehrstuhlseminar. NVidia Tegra-Architektur. Andreas Höer. TU Dresden, 23.05.2012. andreas.hoeer@mailbox.tu-dresden.de

Lehrstuhlseminar. NVidia Tegra-Architektur. Andreas Höer. TU Dresden, 23.05.2012. andreas.hoeer@mailbox.tu-dresden.de Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur NVidia Tegra-Architektur Lehrstuhlseminar TU Dresden, 23.05.2012 andreas.hoeer@mailbox.tu-dresden.de

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Embedded Touch Panel PC OTP/57V

Embedded Touch Panel PC OTP/57V Embedded Touch Panel PC OTP/57V 19 / 3HE Operator Touch Panel System 5.7 VGA LCD, Touch Screen für X86: Linux / Java oder ARM: Web Applications Beschreibung Kompakter Touch Panel PC für moderne HMI Anwendungen

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Rechner Architektur. Martin Gülck

Rechner Architektur. Martin Gülck Rechner Architektur Martin Gülck Grundlage Jeder Rechner wird aus einzelnen Komponenten zusammengesetzt Sie werden auf dem Mainboard zusammengefügt (dt.: Hauptplatine) Mainboard wird auch als Motherboard

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Übung zur Einführung in die digitale Signalverarbeitung

Übung zur Einführung in die digitale Signalverarbeitung Übung zur Einführung in die digitale Signalverarbeitung Übungseinheit 1 1 Einführung in die Entwicklungsumgebung 1.1 Code Composer Studio 1.2 Komponenten eines ausführbaren Programms 1.3 Programmausführung

Mehr

Embedded OS für ARM Cortex Microcontroller

Embedded OS für ARM Cortex Microcontroller Embedded OS für ARM Cortex Microcontroller RTOS Design, Timinganalyse und Test mit Core Simulation und Hardware Debugger Entscheidende Fragen für oder gegen RTOS Lohnt sich der Einsatz eines RTOS auch

Mehr

Embedded OS-9 auf RISC-Prozessoren von Motorola

Embedded OS-9 auf RISC-Prozessoren von Motorola Firmenporträt BALS Werner BALS Hardware & Software Wielinger Str. 20 D-82340 Feldafing Tel.:+49 8157 900491 Fax:+49 8157 900492 email: wernerb@cube.net OS-9-Systemlösungen für embedded-applikationen OS-9-Systemportierungen

Mehr

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Firmenlogo LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Programmierbarer Rechenkern für rechenintensive Echtzeitdatenverarbeitung mit PXI-RIO Dr.-Ing. Alexander Pacholik

Mehr

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Firmenlogo LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Programmierbarer Rechenkern für rechenintensive Echtzeitdatenverarbeitung mit PXI-RIO Dr.-Ing. Alexander Pacholik

Mehr

Echtzeitbetriebssysteme (am Beispiel QNX) Dr. Stefan Enderle HS Esslingen

Echtzeitbetriebssysteme (am Beispiel QNX) Dr. Stefan Enderle HS Esslingen Echtzeitbetriebssysteme (am Beispiel QNX) Dr. Stefan Enderle HS Esslingen 1. Einführung 1.1 Embedded Systeme Embedded Systeme besitzen / benutzen einen Mikrocontroller Embedded Systeme erfüllen meist eine

Mehr

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Mentor User Conference 2004 - Würzburg Michael Pichler, m.pichler@zma.ch Dino Zardet, d.zardet@zma.ch Prof. Karl Schenk, k.schenk@zma.ch

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur Einführung Unsere erste Amtshandlung: Wir schrauben einen Rechner auf Grundlagen der Rechnerarchitektur Einführung 2 Vorlesungsinhalte Binäre Arithmetik MIPS Assembler

Mehr

Übersicht der neuen Generation von Hochleistungs-Mikrocontrollern der Familie PIC32MZEC von Microchip

Übersicht der neuen Generation von Hochleistungs-Mikrocontrollern der Familie PIC32MZEC von Microchip Übersicht der neuen Generation von Hochleistungs-Mikrocontrollern der Familie PIC32MZEC von Microchip Roman Guskov Vortrag im Rahmen des Hauptseminars Dresden, 23.01.14 Gliederung Einleitung Aufbau CPU

Mehr

Open- Source embedded GNU/Linux Plattformen

Open- Source embedded GNU/Linux Plattformen Open- Source embedded GNU/Linux Plattformen Benedikt Sauter Linuxtag Berlin 23.05.2013 Roter Faden Das Thema Anwendungsgebiete Der Wandel / Der Boom Anforderungen Mikrocontroller Schnittstellen Projekte

Mehr

Netduino Mikroprozessor für.net Entwickler

Netduino Mikroprozessor für.net Entwickler Netduino Mikroprozessor für.net Entwickler Patrick Herting Softwareentwickler BlueTem Software GmbH Blog E-Mail www.wdev.de pher@live.de Ablaufplan - Theorieteil Was ist der Netduino? Welche Modelle gibt

Mehr

Microcontroller Architectures and Examples

Microcontroller Architectures and Examples Microcontroller Architectures and Examples Thomas Basmer telefon: 0335 5625 334 fax: 0335 5625 671 e-mail: basmer [ at ] ihp-microelectronics.com web: Outline Microcontroller in general Introduction Main

Mehr

L3. Datenmanipulation

L3. Datenmanipulation L Datenmanipulation Aufbau eines Computers Prozessor, Arbeitsspeicher und system Maschinensprachen und Maschinenbefehle Beispiel einer vereinfachten Maschinensprache Ausführung des Programms und Befehlszyklus

Mehr

Prozessoren in Programmierbarer Logik

Prozessoren in Programmierbarer Logik Bahne Carstens Prozessoren in Programmierbarer Logik Inhalt Prozessoren in Programmierbarer Logik...1 Inhalt...1 Vorteile...1 Die Prozessor-Lösungen der Größe nach sortiert:...2 PicoBlaze...2 MicroBlaze...3

Mehr

Prozessor- und Rechnerarchitekturen (Master)

Prozessor- und Rechnerarchitekturen (Master) Prozessor- und Rechnerarchitekturen (Master) Themen am 27.05.15: Vortrag; Demo: TI-Chronos Dev Kit; Motorola / Freescale: Coldfire; Befehlsstruktur bei ARM Prozessoren; Ulrich Schaarschmidt FH Düsseldorf,

Mehr

R&R. Ges. für Rationalisierung und Rechentechnik mbh. R&R Industrie-Hutschienen PC IPCH101. ca. 335 x 200 x 160 mm. Remote-Anschluß für Bedienpanel

R&R. Ges. für Rationalisierung und Rechentechnik mbh. R&R Industrie-Hutschienen PC IPCH101. ca. 335 x 200 x 160 mm. Remote-Anschluß für Bedienpanel R&R Industrie-Hutschienen PC IPCH101 Gehäuse Abmessung Aluminium eloxiert ca. 335 x 200 x 160 mm Remote-Anschluß für Bedienpanel Zubehör Repeaterbox Lokal D-Stecker LVDS 12V Stromversorgung USB alle 4.7

Mehr

AUL04 Einführung in die Automatisierungslösungen

AUL04 Einführung in die Automatisierungslösungen AUL04 Einführung in die Automatisierungslösungen Automation University Special 2015 Roman Brasser Commercial Engineer rbrasser@ra.rockwell.com Tel. +41 (0) 62 889 78 12 Marco Faré Commercial Engineer mfare@ra.rockwell.com

Mehr

IuK-Projekt. Angebote betreut von Prof. Dr. Felderhoff

IuK-Projekt. Angebote betreut von Prof. Dr. Felderhoff IuK-Projekt Angebote betreut von Prof. Dr. Felderhoff Institut für Informationstechnik (IfIT) 1 Schwerpunkte Prof. Dr. Felderhoff Institut für Informationstechnik Audioverarbeitung Bildverarbeitung Medizintechnik

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität Flynn sche Klassifikation SISD (single instruction, single data stream): IS IS CU PU DS MM Mono (Mikro-)prozessoren CU: Control Unit SM: Shared Memory PU: Processor Unit IS: Instruction Stream MM: Memory

Mehr

sontheim Wir leben Elektronik! We live electronics! Industrie Elektronik GmbH Computer-on-Modules Übersicht unserer Computer-on-Modules

sontheim Wir leben Elektronik! We live electronics! Industrie Elektronik GmbH Computer-on-Modules Übersicht unserer Computer-on-Modules Wir leben Elektronik! We live electronics! sontheim Industrie Elektronik GmbH Computer-on-Modules Übersicht unserer Computer-on-Modules 04 Computer-on-Modules Übersicht unserer Computer-on-Modules Computer-on-Modules

Mehr

Intelligente Videotechnologien des

Intelligente Videotechnologien des Intelligente technologien des AIT Austrian Institute t of Technology Safety & Security Department Hoch performante kompremierung und Bildverarbeitung DI Georg Art Safety & Security Department 1 Überblick

Mehr

SDR# Software Defined Radio

SDR# Software Defined Radio SDR# Software Defined Radio Beispiel von DVB T USB Stick und SDR Receiver Frequenz 24 1700MHz Frequenz 0,1 2000MHz, mit Down Converter für KW Treiber und Software http://sdrsharp.com/#download 1 Nach dem

Mehr

All Digital Transceiver

All Digital Transceiver All Digital Transceiver Prinzip Digital-Empfänger ADC, Analog Digital Converter ( Analog-Digital-Wandler ) DDC, Digital Down Converter ( Digitaler Abwärtsmischer ) DSP, Digital Signal Processor SDR-14

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

32-Bit Microcontroller based, passive and intelligent UHF RFID Gen2 Tag. Zürcher Fachhochschule

32-Bit Microcontroller based, passive and intelligent UHF RFID Gen2 Tag. Zürcher Fachhochschule 32-Bit Microcontroller based, passive and intelligent UHF RFID Gen2 Tag Inhalt Vorgeschichte Was wurde erreicht Hardware Energy Micro Microcontroller µctag Plattform EPC Gen2 Tag Standard Protokoll-Vorgaben

Mehr

Protokoll zur Lehrveranstaltung Rechnerorganisation I. Gruppe Compute PC with GTX 260/280 :

Protokoll zur Lehrveranstaltung Rechnerorganisation I. Gruppe Compute PC with GTX 260/280 : Protokoll zur Lehrveranstaltung Rechnerorganisation I Gruppe Compute PC with GTX 260/280 : Josef Innerkofler, Georg Rief, Andreas Schaffer, Manuela Maurer, Franz Schantl 1. Aufgabenstellung... 2 1.1. Problematik...

Mehr

Developing Interactive Integrated. Receiver Decoders: DAB/GSM Integration

Developing Interactive Integrated. Receiver Decoders: DAB/GSM Integration Developing Interactive Integrated Wolfgang Klingenberg Robert-Bosch GmbH Hildesheim Wolfgang.Klingenberg@de.bosch.co Receiver Decoders: DAB/GSM Integration DAB-GSM-Integration.ppt 1 Overview DAB receiver

Mehr

MODELLING AND CONTROLLING THE STEERING FORCE FEEDBACK USING SIMULINK AND xpc TARGET

MODELLING AND CONTROLLING THE STEERING FORCE FEEDBACK USING SIMULINK AND xpc TARGET MODELLING AND CONTROLLING THE STEERING FORCE FEEDBACK USING SIMULINK AND xpc TARGET Dipl.-Ing. M. Brünger-Koch, 05/06/09 Model-Based Design Conference 2005 1 Agenda 1. Introduction Company and institute

Mehr

IT für Führungskräfte. Zentraleinheiten. 11.04.2002 Gruppe 2 - CPU 1

IT für Führungskräfte. Zentraleinheiten. 11.04.2002 Gruppe 2 - CPU 1 IT für Führungskräfte Zentraleinheiten 11.04.2002 Gruppe 2 - CPU 1 CPU DAS TEAM CPU heißt Central Processing Unit! Björn Heppner (Folien 1-4, 15-20, Rollenspielpräsentation 1-4) Harald Grabner (Folien

Mehr

HW/SW Codesign 5 - Performance

HW/SW Codesign 5 - Performance HW/SW Codesign 5 - Performance Martin Lechner e1026059 Computer Technology /29 Inhalt Was bedeutet Performance? Methoden zur Steigerung der Performance Einfluss der Kommunikation Hardware vs. Software

Mehr

Hyperthreads in Itanium - Prozessoren

Hyperthreads in Itanium - Prozessoren Hyperthreads in Itanium - Prozessoren und wie OpenVMS damit umgeht Thilo Lauer Technical Consultant Account Support Center 2006 Hewlett-Packard Development Company, L.P. The information contained herein

Mehr

Parallelisierung auf MPSoC-Plattformen

Parallelisierung auf MPSoC-Plattformen Anwendungen 1 Parallelisierung auf MPSoC-Plattformen MINF 1, WiSe2011 Anwendungen 1 17.11.2011 Betreuer: Prof. Dr. Schwarz Übersicht 1. 2. 3. 4. 5. Einleitung Multiprozessor- Architekturen SMP im Linux

Mehr

Seminar SS 09 Amdahl`s Law and Cloud-Computing

Seminar SS 09 Amdahl`s Law and Cloud-Computing Seminar SS 09 Amdahl`s Law and Cloud-Computing Prof. G. Bengel Fakultät für Informatik SEMB 7IBW 8IB Raum HO609 Mo 9:45-11:15 1. Teil: Amdahl sches Gesetz 1. Vortrag Das Gesetz von Amdahl und Gustafson

Mehr

Hybride Apps DPR und Android auf dem Xilinx ZYNQ. Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm

Hybride Apps DPR und Android auf dem Xilinx ZYNQ. Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm Hybride Apps DPR und Android auf dem Xilinx ZYNQ Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm Konvergenz der Rechenplattformen Processing System Memory Interfaces 7 Series Programmable

Mehr

Fohhn DSP-Endstufen, Fohhn DSP-System Controller, Fohhn DSP-Audio-Signal-Matrix.

Fohhn DSP-Endstufen, Fohhn DSP-System Controller, Fohhn DSP-Audio-Signal-Matrix. Fohhn DSP-Endstufen, Fohhn DSP-System Controller, Fohhn DSP-Audio-Signal-Matrix. Perfekte Kontrolle, höchste Betriebssicherheit und erstklassige Klangqualität für Ihre Beschallungsprojekte. 42 Fohhn Amps

Mehr

Studienvertiefungsrichtung Informationstechnik

Studienvertiefungsrichtung Informationstechnik Studienvertiefungsrichtung Informationstechnik Prof.Dr.-Ing. Ulrich Sauvagerd Lehrgebiet Informationstechnik Nov. 2006, Seite 1 www.etech.haw-hamburg.de/~sauvagerd Lehrgebiet Informationstechnik Nov. 2006,

Mehr

Arrow University München 03.03.2015. Thin Client Lösungen performant (auch im 3D-Umfelfd), zukunftssicher und zentral verwaltet!

Arrow University München 03.03.2015. Thin Client Lösungen performant (auch im 3D-Umfelfd), zukunftssicher und zentral verwaltet! Arrow University München 03.03.2015 Thin Client Lösungen performant (auch im 3D-Umfelfd), zukunftssicher und zentral verwaltet! Produkte & Services IGEL Produkt-Überblick Thin Client Management Thin Client

Mehr

Stereo Lautsprecher, drahtlose LAN Antenne, ThinkLight, Bluetooth Antenne, Zellenantenne, SIM Kartenleser

Stereo Lautsprecher, drahtlose LAN Antenne, ThinkLight, Bluetooth Antenne, Zellenantenne, SIM Kartenleser Lenovo Lenovo ThinkPad X201 3323 Core i5 560M / 2.66 GHz vpro RAM 2 GB Festplatte 320 GB HD Graphics 3G Mobile Broadband Gigabit Ethernet WLAN : 802.11 a/b/g/n, Bluetooth 2.1 TPM Lesegerät für Fingerabdruck

Mehr

Wearable Computing im Gesundheitswesen

Wearable Computing im Gesundheitswesen Wearable Computing im Gesundheitswesen Dipl.-Ing. Dr. techn. Wolfgang Vorraber, Univ.-Prof. Dipl.-Ing. Dr. techn. Siegfried Vössner, Dipl.-Ing. Dietmar Neubacher, Technische Universität Graz, Österreich

Mehr

Making the Internet of Things

Making the Internet of Things DARIUSH FOROUHER ITI Uni Lübeck DANIEL LOOS 3. Sem. MLS Making the Internet of Things EIN ÜBERBLICK ÜBER PHYSICAL COMPUTING PLATTFORMS Das Internet der Dinge Inhalt Übersicht Arduino Raspberry PI Andere

Mehr

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream Massgeschneiderte Computersysteme Christoph Zimmermann Marc-André Beck Berner Fachhochschule MedOnStream 1. März 2008 Gliederung 1 GNU/Linux in eingebetteten Systemen Einsatzort Vorteile Distribution 2

Mehr

Die nächste Storage Generation Vorteile und Änderungen mit 12Gb/s SAS von Avago Storage Dominik Mutterer, Field Application Engineer

Die nächste Storage Generation Vorteile und Änderungen mit 12Gb/s SAS von Avago Storage Dominik Mutterer, Field Application Engineer Die nächste Storage Generation Vorteile und Änderungen mit 12Gb/s SAS von Avago Storage Dominik Mutterer, Field Application Engineer Agenda Avago Who? 12Gb/s SAS Produktüberblick Vorteile durch 12Gb/s

Mehr

Einführung in Hauptspeicherdatenbanken

Einführung in Hauptspeicherdatenbanken Einführung in Hauptspeicherdatenbanken Harald Zankl Probevorlesung 13. 01., 13:15 14:00, HS C Inhaltsverzeichnis Organisation Überblick Konklusion Harald Zankl (LFU) Hauptspeicherdatenbanken 2/16 Organisation

Mehr

Erste MicroTCA-Entwicklungen im Forschungszentrum Jülich

Erste MicroTCA-Entwicklungen im Forschungszentrum Jülich Mitglied der Helmholtz-Gemeinschaft Erste MicroTCA-Entwicklungen im Forschungszentrum Jülich - Panda DAQ - Kurzer Überblick ATCA und MicroTCA - Entwicklung TDC-Modul - Entwicklung eines seriellen optischen

Mehr

Datenblatt: TERRA PC-Micro 5000 SILENT GREENLINE 489,00. Micro-PC mit Dual-Monitor-Support, WLAN. Zusätzliche Artikelbilder 03.02.2015.

Datenblatt: TERRA PC-Micro 5000 SILENT GREENLINE 489,00. Micro-PC mit Dual-Monitor-Support, WLAN. Zusätzliche Artikelbilder 03.02.2015. Datenblatt: TERRA PC-Micro 5000 SILENT GREENLINE Micro-PC mit Dual-Monitor-Support, WLAN Der TERRA MicroPC im sehr kompakten platzsparenden Gehäuse mit nur 0,4 Liter Volumen, Windows 7 Professional und

Mehr

Python macht Embedded Linux angenehmer

Python macht Embedded Linux angenehmer Python macht Embedded Linux angenehmer Hubert Högl Hubert.Hoegl@hs-augsburg.de http://www.hs-augsburg.de/~hhoegl Hochschule Augsburg 2. Juli 2010 H. Högl () FuE 2010 2. Juli 2010 1 / 14 Embedded Linux

Mehr

Schnupperkurs. Steigerung gder Effizienz bei der Anwendungserstellung mit Hilfe von. Dipl. Ing.(FH) Rüdiger Ellmauer. Applications Engineer

Schnupperkurs. Steigerung gder Effizienz bei der Anwendungserstellung mit Hilfe von. Dipl. Ing.(FH) Rüdiger Ellmauer. Applications Engineer Schnupperkurs Steigerung gder Effizienz bei der Anwendungserstellung mit Hilfe von LabVIEW Toolkits Dipl. Ing.(FH) Rüdiger Ellmauer Applications Engineer Umgebung zur Erstellung von Mess und Automatisierungssoftware

Mehr

Modellierung einer Fahrspurführung für eine ARM-MPSoC Plattform mit FPGA-Ressourcen

Modellierung einer Fahrspurführung für eine ARM-MPSoC Plattform mit FPGA-Ressourcen Modellierung einer Fahrspurführung für eine Erik Andresen 24.05.2012 1 / 30 Inhalt Einleitung Inhalt 1 Überblick zu FAUST-SoC 2 3 4 2 / 30 Inhalt Einleitung FAUST: Fahrerassistenz- und Autonome Systeme.

Mehr

SDR - Software Defined Radio für den Funkamateur

SDR - Software Defined Radio für den Funkamateur SDR - Software Defined Radio für den Funkamateur So funktioniert die neue Technik Dipl.-Kaufm. Bodo J. Krink (DL7BJK) Ш Verlag für Technik und Handwerk Baden-Baden Inhaltsverzeichnis Vorwort 11 Einführung

Mehr

Mehr erreichen mit der bestehenden Infrastuktur. Mathias Widler Regional Sales Manager DACH mwidler@a10networks.com

Mehr erreichen mit der bestehenden Infrastuktur. Mathias Widler Regional Sales Manager DACH mwidler@a10networks.com Mehr erreichen mit der bestehenden Infrastuktur Mathias Widler Regional Sales Manager DACH mwidler@a10networks.com 1 Das Unternehmen A10 Networks Gegründet 2004, 240 Mitarbeiter Mission: Marktführer im

Mehr

RACK4-ATX-Q77. Features

RACK4-ATX-Q77. Features Produktinformation RACK4-ATX-Q77 Industriestraße 2 47638 Straelen Telefon: 0 28 34-77 93 0-0 Telefax: 0 28 34-77 93 0-49 E-Mail: Web: vertrieb@abeco.de www.abeco.de Features CPU Intel Core? i7-2600, 3.48GHz

Mehr

Programmieren in C Teil 3: Mikrocontrollerprogrammierung

Programmieren in C Teil 3: Mikrocontrollerprogrammierung Programmieren in C Teil 3: Mikrocontrollerprogrammierung 08/30/10 Fachbereich Physik Institut für Kernphysik Bastian Löher, Martin Konrad 1 Tag 1 Hello World 08/30/10 Fachbereich Physik Institut für Kernphysik

Mehr

SECVEL Kartenschutzhüllen Testbericht 2014

SECVEL Kartenschutzhüllen Testbericht 2014 SECVEL Kartenschutzhüllen Testbericht 2014 Ort: idtronic GmbH Donnersbergsweg 1 67059 Ludwigshafen Teilnehmer: Tobias Böhler (Support) Peter Löcher (Key Account Manager Professional RFID) Rahmenbedingungen

Mehr

32-Bit-Mikrocontroller in einer 8-Bit-Welt

32-Bit-Mikrocontroller in einer 8-Bit-Welt 32-Bit-Mikrocontroller in einer 8-Bit-Welt Bisher war der Markt für Mikrocontroller (MCUs) stark in 8-Bit-, 16-Bit- und 32-Bit-Segmente fragmentiert. Durch die offenbare Komplexität und die damit verbundenen

Mehr

Datenblatt: TERRA PC-BUSINESS 5000 GREENLINE 539,00. Bestseller Core i5 PC. Zusätzliche Artikelbilder IT. MADE IN GERMANY. 02.10.

Datenblatt: TERRA PC-BUSINESS 5000 GREENLINE 539,00. Bestseller Core i5 PC. Zusätzliche Artikelbilder IT. MADE IN GERMANY. 02.10. Datenblatt: TERRA PC-BUSINESS 5000 GREENLINE Bestseller Core i5 PC Ermöglichen Sie Ihren Mitarbeiteren ein effektives und schnelles Arbeiten mit Ihren Unternehmensanwendungen. Profitieren Sie von robusten

Mehr

Architektur und Organisation von Rechnersystemen

Architektur und Organisation von Rechnersystemen Architektur und Organisation von Thema heute: Andere Prozessorarchitekturen: VLIW very long instruction word (TI DSP), DSP-Architekturen, AMD-QuantiSpeed-Architektur, x86-64 - Technology, AMD Hammer (K8),

Mehr

Datenblatt: Artikel Nr.: 1009405. Referenznummer: 1009405

Datenblatt: Artikel Nr.: 1009405. Referenznummer: 1009405 Datenblatt: TERRA PC-Micro 5000 SILENT GREENLINE Der TERRA MicroPC im sehr kompakten platzsparenden Gehäuse mit nur 0,4 Liter Volumen, Windows 7 Professional und leistungsstarkem Intel Core i3 Prozessor

Mehr

2008 Jiri Spale, Programmierung in eingebetteten Systemen 1

2008 Jiri Spale, Programmierung in eingebetteten Systemen 1 2008 Jiri Spale, Programmierung in eingebetteten Systemen 1 NetX - Einführung 2008 Jiri Spale, Programmierung in eingebetteten Systemen 2 NetX is... a highly integrated network controller with a new system

Mehr

Wozu dient ein Logikanalysator?

Wozu dient ein Logikanalysator? Wozu dient ein Logikanalysator? Beispiel: Microcontroller Microcontroller kommen vor in Haushaltsgeräten (Waschmaschine,...) in Fahrzeugen (ABS, Motorsteuerung, Radio,...) in Computern (Tastatur, Festplatte,

Mehr

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Vortrag zum Beleg Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Michael Lange Dresden, Gliederung 1 Aufgabenstellung 2 Voraussetzungen 3 Aufbau eines

Mehr

PRODUKTKATALOG VOM 1. FEBRUAR 2009

PRODUKTKATALOG VOM 1. FEBRUAR 2009 PRODUKTKATALOG VOM 1. FEBRUAR 2009 Auf dem Online-Portal www.stifter-helfen.de spenden IT-Unternehmen Hard- und Software an gemeinnützige Organisationen. Aktuell stehen rund 130 verschiedene e von drei

Mehr

Spectra-Panel IW 0840 V.1

Spectra-Panel IW 0840 V.1 Einführung IW 0840 ist ein komplett ausgestatteter Panel- PC, der keine Wünsche offen lässt. Der Panel ist mit einem projektiv kapazitiven Touch ausgestattet, was eine rahmenlose Bauart erlaubt. Somit

Mehr

Ein Windows Vista-PC. Peter G. Poloczek M5543 PGP II/07

Ein Windows Vista-PC. Peter G. Poloczek M5543 PGP II/07 Ein Windows Vista-PC Peter G. Poloczek M5543 Asrock - AM2NF6G-VSTA AM2NF6G-VSTA Socket AM2 for AMD Athlon 64FX / 64X2 / 64, Sempron CPUs NVIDIA GeForce 6100 / nforce 405 Chipset FSB 1000MHz (2.0GT/s),

Mehr

Grundlagen der Nachrichtentechnik. 0. Einführung. Prof. Dr.-Ing. Armin Dekorsy. University of Bremen

Grundlagen der Nachrichtentechnik. 0. Einführung. Prof. Dr.-Ing. Armin Dekorsy. University of Bremen Grundlagen der Nachrichtentechnik 0. Einführung Prof. Dr.-Ing. Armin Dekorsy University of Bremen Institute for Telecommunications and High Frequency Techniques Department of Communications Engineering

Mehr

Laufzeitverhalten von FFT Implementierungen O. Punk, S. Döhler, U. Heuert Hochschule Merseburg (FH), Fachbereich Ingenieur und Naturwissenschaften

Laufzeitverhalten von FFT Implementierungen O. Punk, S. Döhler, U. Heuert Hochschule Merseburg (FH), Fachbereich Ingenieur und Naturwissenschaften Laufzeitverhalten von FFT Implementierungen O. Punk, S. Döhler, U. Heuert Hochschule Merseburg (FH), Fachbereich Ingenieur und Naturwissenschaften Aufgabenstellung und Motivation Die DFT (Diskrete Fouriertransformation)

Mehr

Oracle Data Warehouse Mit Big Data neue Horizonte für das Data Warehouse ermöglichen

Oracle Data Warehouse Mit Big Data neue Horizonte für das Data Warehouse ermöglichen DATA WAREHOUSE Oracle Data Warehouse Mit Big Data neue Horizonte für das Data Warehouse ermöglichen Alfred Schlaucher, Detlef Schroeder DATA WAREHOUSE Themen Big Data Buzz Word oder eine neue Dimension

Mehr

Industrial Panel PCs OPC7000 Serie Konzentriert aufs Wesentliche

Industrial Panel PCs OPC7000 Serie Konzentriert aufs Wesentliche Industrial Panel PCs OPC7000 Serie Konzentriert aufs Wesentliche 2 Generationswechsel 2010 vier neue Panel PC Formate für das neue Jahrzehnt Vieltausendfach bewährt, verrichten ads-tec Panel PCs der OPC5000

Mehr

BLIT2008-Board. Uwe Berger

BLIT2008-Board. Uwe Berger 11/2008 (BLIT) 2 Inhalt Was sind Mikrocontroller AVR-Mikrocontroller Werkzeugkasten Prinzipielles zur Programmierung BLIT2008-Board 11/2008 (BLIT) 3 Was sind Mikrocontroller AVR-Mikrocontroller Werkzeugkasten

Mehr

Fakultätsname XYZ Fachrichtung XYZ Institutsname XYZ, Professur XYZ. Big.LITTLE-Processing mit ARM- Cortex-Prozessoren. E. Zenker

Fakultätsname XYZ Fachrichtung XYZ Institutsname XYZ, Professur XYZ. Big.LITTLE-Processing mit ARM- Cortex-Prozessoren. E. Zenker Fakultätsname XYZ Fachrichtung XYZ Institutsname XYZ, Professur XYZ Big.LITTLE-Processing mit ARM- Cortex-Prozessoren E. Zenker Dresden, 14.12.2011 TU Dresden, 14.12.11 Folie 2 von 21 Performance Video

Mehr

Computer-Architektur Ein Überblick

Computer-Architektur Ein Überblick Computer-Architektur Ein Überblick Johann Blieberger Institut für Rechnergestützte Automation Computer-Architektur Ein Überblick p.1/27 Computer-Aufbau: Motherboard Computer-Architektur Ein Überblick p.2/27

Mehr

ExpressCard + PCMCIA

ExpressCard + PCMCIA Der Spezialist für ADD-On Produkte Vers. 1.0_01.04.2014 ExpressCard + PCMCIA Gruppe 5 Unser Weg ist Ihr Ziel EXSYS Vertriebs GmbH Industriestr. 8 61449 Steinbach/Ts. Deutschland D - Deutschland verkauf@exsys.de

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

849,- Arbeitsplatzlösungen. Bereichen PC, Workstation und Display. Success Solution Juli 2015. Intel Inside. Starke Sicherheitslösungen Outside.

849,- Arbeitsplatzlösungen. Bereichen PC, Workstation und Display. Success Solution Juli 2015. Intel Inside. Starke Sicherheitslösungen Outside. 849,- Arbeitsplatzlösungen aus den Bereichen PC, Workstation und Display Success Solution Juli 2015 Äußerst ausbaufähig 92% Energieeffizienz Intel Inside. Starke Sicherheitslösungen Outside. ESPRIMO P720

Mehr

Begrüssung VMware Partner Exchange Update VMware Virtual SAN GA and use cases SimpliVity Converged Infrastructure Kaffeepause

Begrüssung VMware Partner Exchange Update VMware Virtual SAN GA and use cases SimpliVity Converged Infrastructure Kaffeepause we do IT better 08:30 Begrüssung VMware Partner Exchange Update VMware Virtual SAN GA and use cases SimpliVity Converged Infrastructure 10:05 10:30 Kaffeepause AirWatch Mobile Device Management VMware

Mehr

Chancen und Risiken von Multi-Core. Matthias Pruksch

Chancen und Risiken von Multi-Core. Matthias Pruksch Chancen und Risiken von Multi-Core Matthias Pruksch Wozu Security? Auf Alles von Überall zugreifen: [5] BYOD - Bring Your Own Device Industry 4.0 CPS - Cyber Physical Systems [6] IoT - Internet of Things

Mehr

Next generation of Power

Next generation of Power Next generation of Power 29. April Executive Briefing Center Böblingen Volker Haug Power Systems Architekt Mitglied des IBM Technical Expert Council (TEC) IBM Deutschland GmbH Systems & Technology Group

Mehr

Benchmarking Intel Pentium III-S vs. Intel Pentium 4

Benchmarking Intel Pentium III-S vs. Intel Pentium 4 Benchmarking Intel Pentium III-S vs. Intel Pentium 4 André Ceselski Raphael Rosendahl 30.01.2007 Gliederung Motivation Vorstellung der Architekturen Intel P6 Architektur Intel NetBurst TM Architektur Architektur-Unterschiede

Mehr

Messsysteme für den SwissFEL

Messsysteme für den SwissFEL Messsysteme für den SwissFEL Signalauswertung mit Xilinx Virtex-5 FPGAs Embedded Computing Conference 2011 Christa Zimmerli Masterstudentin MSE Wissenschaftliche Assistentin christa.zimmerli@fhnw.ch Institut

Mehr

Datenblatt: TERRA PC-BUSINESS 5000 Compact SILENT+ GREENLINE. Mini-ITX PC mit Core i3 Prozessor. Klein & leistungsstark mit SSD

Datenblatt: TERRA PC-BUSINESS 5000 Compact SILENT+ GREENLINE. Mini-ITX PC mit Core i3 Prozessor. Klein & leistungsstark mit SSD 07.10.2015 Datenblatt: TERRA PC-BUSINESS 5000 Compact SILENT+ GREENLINE Mini-ITX PC mit Core i3 Prozessor. Klein & leistungsstark mit SSD TERRA Mini-ITX PC mit Intel Core i3 Prozessor der 4. Generation

Mehr

faytech EPIC FT2X7410M Mainboard (English)

faytech EPIC FT2X7410M Mainboard (English) faytech EPIC FT2X7410M Mainboard (English) Together with the industrial division of ASUS, faytech developed its first own industrial mainboard which sets new standards for industrial mainboards 2013. faytech

Mehr

ARM Cortex-M Prozessoren. Referat von Peter Voser Embedded Development GmbH

ARM Cortex-M Prozessoren. Referat von Peter Voser Embedded Development GmbH ARM Cortex-M Prozessoren Referat von Peter Voser Embedded Development GmbH SoC (System-on-Chip) www.embedded-development.ch 2 Instruction Sets ARM, Thumb, Thumb-2 32-bit ARM - verbesserte Rechenleistung

Mehr

Modellbasierte Entwicklung mechatronischer Systeme mit automatischer Codegenerierung für Cortex-Mx-Controller

Modellbasierte Entwicklung mechatronischer Systeme mit automatischer Codegenerierung für Cortex-Mx-Controller Modellbasierte Entwicklung mechatronischer Systeme mit automatischer Codegenerierung für Cortex-Mx-Controller Bastian Schindler, Christian Bartl, Jens Baumbach, Veit Zöppig drivexpert GmbH Kurzvorstellung

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

Die Entwicklungsumgebung. Labor Technische Informatik. Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) A. Reber

Die Entwicklungsumgebung. Labor Technische Informatik. Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) A. Reber Die Entwicklungsumgebung Labor Technische Informatik Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) A. Reber 19.04.2011 Inhalt 1 Das Keil MCB1700 Board...2 2 Keil ARM MDK Toolchain...3 2.1 Projekterstellung...3

Mehr