CPLD-Testboard. Hardware-Beschreibung. Universität Rostock Institut für Angewandte Mikroelektronik und Datentechnik



Ähnliche Dokumente
Aufbau und Bestückung der UHU-Servocontrollerplatine

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V

BFI Industrie-Elektronik GmbH + Co. KG

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice

Ablaufsteuerung Sequenzer, als Schaltstufen für den Amateurfunk.

TRAVEL POWER 230 V AC, 32 A, 50 Hz ( ) Travel Power

Baubericht für den AX81-GKR Einleitung

Microcontroller Kurs Microcontroller Kurs/Johannes Fuchs 1

Versuch 3: Sequenzielle Logik

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Simulation LIF5000. Abbildung 1

Anleitung über den Umgang mit Schildern

Aufgaben Wechselstromwiderstände

Bedienungsanleitung Lithium Akku mit Fernbedienung

Quanton Manual (de) Datum: URL: )

Versuch 3. Frequenzgang eines Verstärkers

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik

das ABS kontrolliert ob Fehler da sind (sieht man an den ABS Warnlampen)

Windkraft-Ersatzlastregler bzw. Heizungsversion

Elektrische Logigsystem mit Rückführung

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Technische Informatik Basispraktikum Sommersemester 2001

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

MOTORISIERTER COIN FEEDER

Einführung in. Logische Schaltungen

Physik & Musik. Stimmgabeln. 1 Auftrag

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom b

Autoradio On Off Schaltung

Professionelle Seminare im Bereich MS-Office

Bedienungsanleitung 1

Primzahlen und RSA-Verschlüsselung

Das Persönliche Budget in verständlicher Sprache

Der Aufbau der Uhr ist sehr einfach, weil nur sehr wenige Bauteile eingelötet werden müssen.

Seite 1 von 5. Diagnose-Interface für Audi und VW-Fahrzeuge

Datensicherung. Beschreibung der Datensicherung

Inhalt. Thema: Energie. Gedanke. Experiment/Spiel. Thema. Batterietests. Batterie. Batterien haben zwei Pole. Strom erzeugen

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Zeichen bei Zahlen entschlüsseln

Sensor board EB

VOLTmonitor. VOLTmonitor. Schritt 1: Schaltung. file://localhost/users/rainer/xp-exchange/erzeugte%20websites/p... 1 of

Hörsaal B1. Inhalt. Zuständigkeit Hausmeister. Allgemeine Hinweise zur Medientechnik

Aufbauanleitung Wortwecker 135x135mm 2

easyident Türöffner mit integriertem USB Interface

upsdrpc_c5_aufbau Anleitung zum Aufbau von upsdrpc für den Einsatz als Funkrufpapagei in einem Funkgerät C5.

Erstellen einer Collage. Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu])

Fax einrichten auf Windows XP-PC

COM-1 Anleitung zur Installation und Inbetriebnahme

dpc-haustechnik Ulrich Piechnick Februar 2012 Entwurf

Geneboost Best.- Nr Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist.

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Windows 10 > Fragen über Fragen

Local Control Network Technische Dokumentation

10. Elektrische Logiksysteme mit

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster

Urlaubsregel in David

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Anbau- und Bedienungsanleitung

Umwandelung einer Physikalischen Größe in eine Elektrische

Informationsblatt Induktionsbeweis

Kurzanleitung. Kurzanleitung. Version.

Elektrische Spannung und Stromstärke

AVR Experimentierboard

10.0 Quick Start mit AT89LP2052 Elliptecmotor Kit

Leichte-Sprache-Bilder

Digital Web-Monitoring Digitales Web-Monitoring

MMOne.tv Installationsanleitung der Set-Top Box 05/2008 Version 1.1. Seite 1 von 10

.htaccess HOWTO. zum Schutz von Dateien und Verzeichnissen mittels Passwortabfrage

Übung - Konfigurieren einer Windows-XP-Firewall

9 Multiplexer und Code-Umsetzer

40-Tage-Wunder- Kurs. Umarme, was Du nicht ändern kannst.

PicKit 3. Programmierung mit dem USB-Programmer PICkit3 (Microchip) AB

Leitfaden zur ersten Nutzung der R FOM Portable-Version für Windows (Version 1.0)

ZfP-Sonderpreis der DGZfP beim Regionalwettbewerb Jugend forscht BREMERHAVEN. Der Zauberwürfel-Roboter. Paul Giese. Schule: Wilhelm-Raabe-Schule

1 Mathematische Grundlagen

Kapitel 3 Frames Seite 1

Produktbeschreibung. 8x230VAC - Eingangsadapter. HomeAutomationSystem Edition V0.1 Dipl.-Ing. Pankraz Viktor

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005

Anleitung zum Einsetzen der Micro SIM Karte in das ipad

Übung - Konfigurieren einer Windows Vista-Firewall

Mediator 9 - Lernprogramm

Bauanleitung Elektronik Version 1.0. April 2015 M.Haag

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./

! " # $ " % & Nicki Wruck worldwidewruck

Bedienungsanleitung TIME IT. a Look Solutions 1 product

GeoPilot (Android) die App

PalmCD2 Programmiergerät

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

S7-Hantierungsbausteine für R355, R6000 und R2700

Sie wollen Was heißt das? Grundvoraussetzung ist ein Bild oder mehrere Bilder vom Wechseldatenträger

ATMega2560Controllerboard

AMB8460 und AMB2560 Schnell Start

Die Solarzelle als Diode

CMS-24 Anschluss/Schaltbox für den Einbau/Betrieb in Fahrzeugen

MORE Profile. Pass- und Lizenzverwaltungssystem. Stand: MORE Projects GmbH

Was meinen die Leute eigentlich mit: Grexit?

Novell Client. Anleitung. zur Verfügung gestellt durch: ZID Dezentrale Systeme. Februar ZID Dezentrale Systeme

Jeopardy and andere Quizformate im bilingualen Sachfachunterricht Tipps zur Erstellung mit Powerpoint

SP-1101W/SP-2101W Quick Installation Guide

Transkript:

CPLD-Testboard Hardware-Beschreibung Universität Rostock Institut für Angewandte Mikroelektronik und Datentechnik

Allgemeine Beschreibung Das CPLD-Testboard ist gedacht zur Realisierung einfacher Hardware-Designs. Zentraler Bestandteil des Boards ist ein CPLD (Complex Programmable Logic Device), also ein programmierbarer Logik-Schaltkreis, der sein einprogrammiertes Verhalten auch bei abgeschalteter Versorgungsspannung nicht vergisst. Er funktioniert nach dem Wiedereinschalten genauso wie vor dem vorangegangenen Ausschalten. Für diverse Experimente sind auf dem Testboard Leuchtdioden (LED) und Anzeigen sowie Schalter und Taster als Eingabe-Elemente enthalten. Was ist ein CPLD? Ein Logik-Schaltkreis wie dieses CPLD arbeitet digital, das heißt, er kennt nur die Zustände Ja oder Nein bzw. Wahr oder Falsch. Verkürzt schreibt man auch 1 für Wahr und 0 für Falsch. Elektrisch entspricht eine 1 einer elektrischen Spannung oberhalb eines bestimmten Grenzwertes und eine 0 einer Spannung unterhalb dieses Grenzwertes. Eine weitere Bezeichnung für diese Zustände ist daher auch high für 1 und low für 0. Diese verschiedenen Spannungen werden als Logik-Pegel bezeichnet. Ein Logik-Schaltkreis vergleicht also die elektrische Spannung an seinen Eingangs-Pins mit diesen Logik-Pegeln und bewertet sie intern als 1 oder 0. An den Ausgangs-Pins des Schaltkreises liegt für eine 1 die Versorgungsspannung des Schaltkreises und für eine 0 eine Spannung von 0 Volt an. Um Ordnung in diese Namensvielfalt zu bringen hier noch mal eine Zusammenfassung: wahr = ja = 1 = high falsch = nein = 0 = low Welche dieser Bezeichnungen verwendet wird, hängt vom jeweiligen Fachgebiet ab. In der Elektronik werden eher 1/0 und high/low verwendet, in der Mathematik oder Informatik ist häufiger ja/nein und wahr/falsch anzutreffen. Spricht man vom Verhalten eines Logik-Schaltkreises, dann meint man damit die Art und Weise, wie sich die Logik-Pegel an den Ausgängen in Abhängigkeit von den Logik-Pegeln an den Eingängen ändern. So eine Beschreibung kann zum Beispiel lauten: Wenn an Eingang A Logik-Pegel 1 und an Eingang B Logikpegel 1 anliegt, dann führt Ausgang X Logik-Pegel 1, in allen anderen Fällen führt der Ausgang den Pegel 0. So ein Verhalten wird auch als Logik- Funktion bezeichnet. Genau wie in der Mathematik gibt es auch für Logik-Funktionen eine Formel-Schreibweise die eine effektive Beschreibung des Verhaltens erlaubt. Darauf wird später bei der Beschreibung verschiedener Beispiel-Designs noch genauer eingegangen. Um das Verhalten eines CPLD festzulegen, beschreibt man sein Verhalten zunächst in einer sogenannten Hardware-Beschreibungssprache, ähnlich einer Programmiersprache für Computer. Aus dieser Beschreibung wird dann von Übersetzungsprogrammen die Programmier-Information für das CPLD generiert, etwa so wie ein Compiler aus dem in einer Programmiersprache geschriebenen Programmcode ein Computerprogramm erzeugt. Der Unterschied besteht darin, dass der Prozessor eines Computers nur eine bestimmte Menge von Befehlen ausführen kann und von seinem Programm gesagt bekommt, welche Operation er gerade ausführen soll. Die Programmier-Information für ein CPLD ändert die interne Struktur des Schaltkreises, so das sein Verhalten dem in der Beschreibung entspricht. Dieses Verhalten ändert sich nicht, solange das CPLD nicht umprogrammiert wird. Ein Computerprogramm sagt dem Prozessor über den entsprechenden Befehl beispielsweise Addiere A und B. Der Prozessor tut dies, indem er seinen internen Addierer dazu benutzt. Die Programmier- Information eines CPLD sagt diesem sei ein Addierer für die Eingänge A und B. Folglich verhält sich das CPLD von nun an wie ein Addierer und addiert die Werte, die an den Eingängen A und B anliegen. Und während ein Prozessor immer nur einen Befehl zur Zeit ausführen kann, kann ein Schaltkreis wie das CPLD so konfiguriert werden, dass in ihm

gleichzeitig mehrere Funktionen erfüllt werden. Während also beispielsweise ein Teil des CPLD als Addierer arbeitet, kann ein anderer Teil einen Multiplizierer darstellen. Aufbau des CPLD-Testboards Das Testboard enthält neben dem CPLD eine Reihe verschiedener Ein- und Ausgabeelemente. Diese Komponenten sollen im Folgenden beschrieben werden. Programmieranschluss: Das wohl auffälligste Bauelement auf dem Testboard ist der 25polige SUBD-Steckverbinder (X1). Über diesen Stecker, der direkt oder über ein entsprechendes Verlängerungskabel an den Drucker-Anschluss eines Personalcomputers angeschlossen werden kann, erfolgt die Konfiguration des CPLD. Dazu wird die von der Übersetzungssoftware auf dem PC erzeugte Konfigurations-Datei mittels eines sogenannten Download-Programms in das CPLD geladen. Erfahrene Anwender können diesen Anschluss auch nutzen, um eigene Designs mit Programmen auf dem PC kommunizieren zu lassen. Der Steckverbinder ist so an das CPLD angeschlossen, dass mit dem Parallel-Port des Computers im sogenannten EPP-Modus gearbeitet werden kann. Das Umschalten zwischen Programmier- und Anwendungs-Modus dieses Anschlusses erfolgt über den Schiebeschalter SW1. Schalterstellung P steht dabei für Programmierung und W für normale Nutzung des Parallelports. Achtung! Da einige der Datenleitungen D1...D8 auch bei der Programmierung des CPLD genutzt werden, sollten diese bei eigenen Designs nur als Eingänge benutzt werden. Wenn die CPLD-Pins an diesen Leitungen während der Programmierung als Ausgang arbeiten, stört das den Konfigurationsvorgang, so dass ein Umkonfigurieren oder Löschen des CPLD nicht mehr möglich ist! Diese Schnittstelle sollten daher nur diejenigen Anwender benutzen, die wissen was sie tun. Ausgabe-Elemente: Als Anzeigeelemente stehen ein zehnstelliger LED-Balken (DZ1) und zwei 7-Segment- Anzeigen (DIS1 und DIS2) zur Verfügung. Die zehn Leuchtdioden des LED-Balkens sind mit je einem Pin des CPLD verbunden. Die Leuchtdioden leuchten, sobald der zugehörige Pin des CPLD den Logikpegel 1 führt. Das gleiche gilt für die 7-Segment-Anzeigen. Jede Anzeige besteht aus sieben Leuchtdioden, die deren einzelne Segmente bilden. Die Segmente sind mit a...g bezeichnet. Durch Kombination mehrerer Segmente lassen sich die Ziffern 0 bis 9 sowie die Buchstaben A, B, C, d, E und F darstellen. Eine weitere LED fungiert als Dezimalpunkt DP der Anzeige, so dass insgesamt acht Ausgangs-Pins zur Ansteuerung der Anzeige benötigt werden. LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8 LED9 LED10 f e a g d b c dp Abbildung 1 LED-Balken und 7-Segment-Anzeige

Eingabe-Elemente: Als Eingabeelemente stehen auf dem Testboard ein achtfacher Schiebeschalter (S1) und drei Taster (S2...S4) zur Verfügung. Wenn die Taster S2 oder S3 offen (nicht gedrückt) sind, dann liegt an den entsprechenden Eingangspins des CPLD low-pegel an. Wird einer dieser Taster geschlossen (gedrückt), dann führt der CPLD-Pin high-pegel, bis der Taster wieder losgelassen wird. Beim Taster S4 ist es genau andersherum. Dort führt der zugehörige Eingangspin im geschlossenen Zustand des Tasters low-pegel und high-pegel im offenen Zustand. Die einzelnen Schalter des Schiebeschalters S1 sind von 1 bis 8 nummeriert und werden als S1.1 bis S1.8 bezeichnet. Auch bei diesen Schaltern liegt im offenen Zustand ( OFF ) low- Pegel an den CPLD-Eingangspins. Wird der Betätigungshebel dieser Schalter in die gegenüberliegende Position ( ON ) geschoben, also geschlossen, dann führt der CPLD- Eingang high-pegel. Ein spezielles Ausgabe-Element ist die Infrarot-Leuchtdiode (ID1). Deren Licht ist für das menschliche Auge nicht sichtbar, aber verschiedene elektronische Bauelemente können darauf reagieren, wie das zum Beispiel bei der Fernbedienung eines Fernsehers oder Videorekorders geschieht. Zusammen mit einem PC könnte man das CPLD-Board auch für solche Zwecke nutzen. Der PC müsste dann die auszugebenden Fernbedienungs-Codes über den Druckerport an das CPLD senden und das CPLD würde dann die erforderliche Kodierung übernehmen. Das wäre allerdings ein Projekt für Fortgeschrittene. Wesentlich einfacher zu nutzen ist der auf dem Board vorgesehene Sound-Generator (SG1). Er ist auf dem Testboard noch nicht bestückt, da es reichlich störend wäre, wenn bei einem nicht oder falsch konfigurierten CPLD ständig das Piepsen des Signalgenerators ertönen würde. Der auf dem Board direkt oder über ein Kabel einzulötende Typ von Signalgeber muss bei einer Spannung von 3 Volt arbeiten können. Zu achten ist dabei auf die Polarität. Der Anschluss für den Pluspol des Signalgenerators ist auf dem Testboard mit gekennzeichnet. Natürlich kann statt eines Signalgebers auch ein anderes Ausgabeelement, z.b. eine Leuchtdiode oder Glühlampe angeschlossen werden. Auch hier gilt wieder: das sollten nur Personen machen, die sich mit so etwas gut auskennen. Im eingeschalteten Zustand liegen an den für den Signalgenerator vorgesehenen Anschlüssen 3,3 Volt an, der maximal mögliche Strom liegt bei 100 Milliampere. Freie Anschlüsse: Für eigene Erweiterungen ist die 20polige Stiftleiste J1 vorgesehen. Auf dieser Leiste finden sich 18 frei nutzbare Ein/Ausgabe-Pins sowie die Anschluss-Pins für Betriebsspannung (Vcc) und Masse (Gnd). Ob ein Pin als Eingang oder Ausgang dient, hängt von der Konfiguration des CPLD ab. Man sollte beim Anschluss eigener Elektronik an diesen Steckverbinder immer sicherstellen, dass nicht ein und derselbe Pin von der externen Elektronik als auch vom CPLD zugleich als Ausgang genutzt wird. So etwas kann unter Umständen das CPLD oder die externe Elektronik zerstören. Es empfiehlt sich daher, erst das CPLD mit der neuen Konfiguration zu laden und die Spannungen an den Pins der Stiftleiste mit einem Messgerät o.ä. zu überprüfen, bevor man dort etwas anschließt. Das ist also wiederum eher etwas für erfahrene Anwender. Die Betriebsspannung auf der Stiftleiste beträgt 3,3 Volt, weshalb die vom CPLD ausgegebenen high-pegel maximal diesen Wert erreichen können. Die Eingänge des CPLD vertragen dagegen auch Spannungen von 5 Volt, was eine in der Elektronik übliche Betriebsspannung darstellt.

Taktgenerator: Ein weiteres markantes Bauteil auf dem Testboard ist der viereckige silberne Baustein in der linken oberen Ecke. Dies ist der Taktgeber, ein Quarz-Generator, der die gleiche Funktion erfüllt wie der Quarz einer Uhr - er dient als Zeit-Basis. Der Logikpegel am Ausgang eines Quarz-Generators ändert sich nämlich ständig. Der Zeitabstand zwischen diesen Pegel- Änderungen ist dabei konstant. Der Ausgangs-Pegel wechselt von high zu low, dann wieder zu high, dann wieder zu low und so weiter. Die Bereiche zwischen zwei aufeinanderfolgenden Wechseln werden als Phasen bezeichnet, je nach gerade anliegendem Logikpegel als highoder low-phase. Die Übergänge selbst werden als Flanken bezeichnet, es gibt demzufolge high-to-low-flanken ( fallend ) und low-to-high-flanken ( steigend ). Da die Abstände zwischen zwei aufeinanderfolgenden Flanken wie eben beschrieben konstant sind, ist auch der Abstand zwischen zwei gleichen Flanken (also von steigend zu steigend oder von fallend zu fallend) immer gleich. Dieser Abstand wird als ein Takt und die Zeitdauer eines Taktes als Taktperiode bezeichnet. Die Anzahl an Takten, die so ein Generator in einer Sekunde ausführt, wird als Frequenz bezeichnet. Die Einheit der Frequenz ist Hertz, abgekürzt Hz. Ein Takt pro Sekunde entspricht einer Frequenz von 1Hz, der Quarzgenerator auf dem Testboard hat eine Frequenz von 1MHz, generiert also 1 Million Takte pro Sekunde. Den Zusammenhang von Phasen, Flanken, Takt und Periode zeigt Abbildung 2. Logik- Pegel Flanke (fallend) Phase (low) Flanke (steigend) Phase (high) high low Periode 1 Takt Zeit Abbildung 2 Zusammenhang von Flanke, Phase, Takt und Periode Gebraucht wird der vom Generator erzeugte Takt auf dem CPLD-Board beispielsweise, wenn man bestimmte Zustände des CPLDs eine feste Zeit lang beibehalten will. Für eine blinkende Leuchtdiode etwa würde man das CPLD so konfigurieren, dass es intern die Takte zählt, bei Erreichen einer vorgegebenen Anzahl den Ausgangspegel an der Leuchtdiode wechselt und dann von vorne anfängt zu zählen. Für die Dauer des Zählvorgangs wäre die Leuchtdiode dann abwechselnd an- oder ausgeschaltet. Ein anderes Beispiel für sogenannte getaktete Designs ist der Prozessor eines Personalcomputers, von dem vielen die Bezeichnung Megahertz (bzw. seit einigen Jahren Gigahertz ) bekannt sein dürfte. Für die meisten Anwendungen des CPLD-Testboards ist der Takt von 1 MHz völlig ausreichend. Da der Quarzgenerator aber in einem Sockel steckt, kann er bei Bedarf gegen ein Modell mit höherer Frequenz ausgetauscht werden. Beim Wechsel ist auf die richtige Polung des Generators zu achten. Anschluss 1 ist durch einen Punkt o.ä. auf dem Gehäuse und durch die nicht abgerundete Ecke des Gehäuses gekennzeichnet. Diese Ecke muss, wie in der Darstellung des Testboards am Ende dieses Dokuments zu sehen, zur Infrarot-Leuchtdiode

zeigen. Mit welchem Takt eine Konfiguration des CPLD maximal betrieben werden kann, wird von den Programmen, die die Konfigurationsdatei erzeugen, ermittelt und dem Anwender mitgeteilt. Die maximal mit dem verwendeten CPLD mögliche Frequenz beträgt 100 MHz. Spannungsversorgung: Für die Anwender von geringerem Interesse, für das CPLD-Testboard aber von großer Bedeutung ist die Spannungsversorgung des Boards. Das Testboard wird mit Gleichspannung betrieben, die über den Stecker X2 oder über die mit V+ und V- bezeichneten Lötanschlüsse zugeführt wird. Der Spannungsregler U2 erzeugt daraus die Betriebsspannung des Testboards, die, wie bereits erwähnt, 3,3 Volt beträgt. Die über X2 oder die Lötanschlüsse zugeführte Spannung sollte nicht zu groß gewählt werden. Zu hohe Spannung belastet nur den Spannungsregler unnötig, so dass er sehr heiß wird. Bei sehr hoher Spannung kann der Regler sogar überhitzen, wodurch er sich, und damit auch das CPLD-Testboard, abschaltet. Normalerweise ist eine Spannung von 4,5 Volt am Eingang völlig ausreichend, so dass beispielsweise eine Flachbatterie zum Betrieb genügt. Wen das Kabel nicht stört, der sollte allerdings besser zu einem Steckernetzteil greifen. Damit ist man auch davor geschützt, dass gerade während der Konfiguration des CPLDs die Batterie ihren Geist aufgibt. Das Netzteil ist auf 4,5 Volt einzustellen (wenn es nicht einstellbar ist, dann sollte es nicht mehr als 7 Volt liefern) und beim Anschluss-Stecker ist darauf zu achten, dass der Pluspol innen und der Minuspol außen liegt. Sobald ein Stecker in der Buchse X2 steckt, ist die an V+ und V- angeschlossenen Spannungsquelle übrigens abgeschaltet. Man muss daher eine dort angeschlossenen Batterie nicht unbedingt entfernen, wenn man zwischenzeitlich mit einem Netzteil arbeitet. Wenn die Betriebsspannung des CPLD-Boards korrekt ist, leuchtet die rote Leuchtdiode D1 um anzuzeigen, dass das CPLD-Testboard betriebsbereit ist.

Pinbelegung des CPLD Das verwendete CPLD ist vom Typ XC9572XL des Herstellers Xilinx. Von den 100 Pins des Gehäuses stehen 72 als Ein- oder Ausgänge für den Anwender zur Verfügung. Die restlichen Anschlüssen dienen der Konfiguration des CPLD, zum Anschluss der Betriebsspannung oder sind ungenutzt. Die folgende Aufstellung listet die CPLD-Pins und die daran angeschlossenen Baugruppen des Testboards auf. Diese Information wird benötigt, da den Übersetzungsprogrammen bei der Erstellung eigener Konfigurationen mitgeteilt werden muss, welches Signal über welchen CPLD-Pin zu führen ist. Wird das nicht getan, so verteilen die Programme Ein- und Ausgänge willkürlich auf die Pins, was zu Fehlfunktionen führt. Baugruppen-Übersicht 7-Sement-Anzeige DIS1: D1A 13 Segment a D1B 12 Segment b D1C 11 Segment c D1D 10 Segment d D1E 9 Segment e D1F 8 Segment f D1G 6 Segment g D1DP 4 Dezimalpunkt 7-Sement-Anzeige DIS2: D2A 3 Segment a D2B 1 Segment b D2C 97 Segment c D2D 96 Segment d D2E 95 Segment e D2F 94 Segment f D2G 93 Segment g D2DP 92 Dezimalpunkt LED-Balken DZ1: LED1 91 Leuchtdiode 1 LED2 90 Leuchtdiode 2 LED3 89 Leuchtdiode 3 LED4 87 Leuchtdiode 4 LED5 86 Leuchtdiode 5 LED6 85 Leuchtdiode 6 LED7 82 Leuchtdiode 7 LED8 81 Leuchtdiode 8 LED9 79 Leuchtdiode 9 LED10 78 Leuchtdiode 10

Infrarot-Leuchtdiode ID1: IRLED 14 Infrarot-Diode Signalgenerator SG1: BEEPER 15 Signalgenerator DIP-Schalter S1: S1.1 56 Schalter 1 S1.2 55 Schalter 2 S1.3 54 Schalter 3 S1.4 53 Schalter 4 S1.5 52 Schalter 5 S1.6 50 Schalter 6 S1.7 49 Schalter 7 S1.8 42 Schalter 8 Taster S2: SW2 76 Taster 2 Taster S3: SW3 77 Taster 3 Taster S4: NRESET 99 Taster 4, Reset-Taster Pfostenleiste J1: Signal Pin CPLD-Pin Beschreibung GPIO1 1 16 Ein-/Ausgangs-Pin 1 GPIO2 2 17 Ein-/Ausgangs-Pin 2 GPIO3 3 18 Ein-/Ausgangs-Pin 3 GPIO4 4 20 Ein-/Ausgangs-Pin 4 GPIO5 5 23 Ein-/Ausgangs-Pin 5 GPIO6 6 25 Ein-/Ausgangs-Pin 6 GPIO7 7 27 Ein-/Ausgangs-Pin 7 GPIO8 8 28 Ein-/Ausgangs-Pin 8 GPIO9 9 29 Ein-/Ausgangs-Pin 9

GPIO10 10 30 Ein-/Ausgangs-Pin 10 GPIO11 11 32 Ein-/Ausgangs-Pin 11 GPIO12 12 33 Ein-/Ausgangs-Pin 12 GPIO13 13 35 Ein-/Ausgangs-Pin 13 GPIO14 14 36 Ein-/Ausgangs-Pin 14 GPIO15 15 37 Ein-/Ausgangs-Pin 15 GPIO16 16 39 Ein-/Ausgangs-Pin 16 GPIO17 17 40 Ein-/Ausgangs-Pin 17 GPIO18 18 41 Ein-/Ausgangs-Pin 18 GND 19 Masse VCC 20 Betriebsspannung SUB-D-Steckverbinder X1 : Die Nutzung dieses Anschlusses für eigene Designs kann im sog. EPP-Modus der Drucker- Schnittstelle erfolgen. Die untenstehende Tabelle zeigt die dafür gültigen Signalnamen. Achtung! Da einige der Datenleitungen D1...D8 auch bei der Programmierung des CPLD genutzt werden, sollten diese bei eigenen Designs nur als Eingänge benutzt werden. Sollten Datenleitungen während der Programmierung als Ausgang arbeiten, würde das den Programmiervorgang stören, so dass ein Umkonfigurieren oder Löschen des CPLD nicht mehr möglich ist! Diese Schnittstelle sollten also nur Leute benutzen, die wissen was sie tun. Signal Pin CPLD-Pin Beschreibung NWRITE 1 58 Schreib/Lese-Signal D1 2 60 Datenbit 1 D2 3 61 Datenbit 2 D3 4 64 Datenbit 3 D4 5 66 Datenbit 4 D5 6 67 Datenbit 5 D6 7 68 Datenbit 6 D7 8 70 Datenbit 7 D8 9 71 Datenbit 8 INTR 10 72 Interrupt-Leitung NWAIT* 11 74 Handshake-Signal 12 ungenutzt 13 ungenutzt NDSTRB 14 59 Daten-Strobe-Signal 15 ungenutzt INIT 16 63 Initialisierungs-Signal NASTRB 17 65 Adress-Strobe-Signal GND 18-25 Masse *) Dieses Signal ist im Programmier-Modus unterbrochen

CPLD-Übersicht Die hier folgende Tabelle listet alle nutzbaren Pins des CPLD mit den daran angeschlossenen Signalen auf und gibt an, in welcher Richtung (Eingang, Ausgang,...) diese Pins betrieben werden müssen. CPLD-Pin Signal Richtung CPLD-Pin Signal Richtung 1 D2B Ausgang 58 NWRITE Eingang 3 D2A Ausgang 59 NDSTRB Eingang 4 D1DP Ausgang 60 D1 Eingang* 6 D1G Ausgang 61 D2 Eingang* 8 D1F Ausgang 63 INIT Eingang 9 D1E Ausgang 64 D3 Eingang* 10 D1D Ausgang 65 NASTRB Eingang 11 D1C Ausgang 66 D4 Eingang* 12 D1B Ausgang 67 D5 Eingang* 13 D1A Ausgang 68 D6 Eingang* 14 IRLED Ausgang 70 D7 Eingang* 15 BEEPER Ausgang 71 D8 Eingang* 16 GPIO1 Ein/Ausgang 72 INTR Ausgang 17 GPIO2 Ein/Ausgang 74 NWAIT Ausgang 18 GPIO3 Ein/Ausgang 76 SW2 Eingang 20 GPIO4 Ein/Ausgang 77 SW3 Eingang 23 GPIO5 Ein/Ausgang 78 LED10 Ausgang 25 GPIO6 Ein/Ausgang 79 LED9 Ausgang 27 GPIO7 Ein/Ausgang 81 LED8 Ausgang 28 GPIO8 Ein/Ausgang 82 LED7 Ausgang 29 GPIO9 Ein/Ausgang 85 LED6 Ausgang 30 GPIO10 Ein/Ausgang 86 LED5 Ausgang 32 GPIO11 Ein/Ausgang 87 LED4 Ausgang 33 GPIO12 Ein/Ausgang 89 LED3 Ausgang 35 GPIO13 Ein/Ausgang 90 LED2 Ausgang 36 GPIO14 Ein/Ausgang 91 LED1 Ausgang 37 GPIO15 Ein/Ausgang 92 D2DP Ausgang 39 GPIO16 Ein/Ausgang 93 D2G Ausgang 40 GPIO17 Ein/Ausgang 94 D2F Ausgang 41 GPIO18 Ein/Ausgang 95 D2E Ausgang 42 S1.8 Eingang 96 D2D Ausgang 49 S1.7 Eingang 97 D2C Ausgang 50 S1.6 Eingang 99 NRESET Eingang 52 S1.5 Eingang 53 S1.4 Eingang 54 S1.3 Eingang 55 S1.2 Eingang 56 S1.1 Eingang *) Unter bestimmten Bedingungen auch als Ausgang nutzbar

Anhang

Stückliste für das CPLD-Testboard Pos. Anz. Bezeichnung Hersteller-Bezeichnung Hersteller Bauform Bemerkungen. 1 1 U1 CPLD XC9572XL-10TQ100C Xilinx TQFP100 CPLD 2 1 U2 LM1086CT-3.3 National TO220 Spannungsregler, 3,3V LM1086IT-3.3 Semiconductor 3 1 U3 SN74HC125D Texas Instruments SOIC14 Standard-Bauteil, andere MM74HC125M Fairchild Hersteller o.k. 4 1 QG1 CO6100-1.000T Raltron DIL14/4 Quarz-Oszillator, 1MHz, 100ppm, HCMOS, andere Hersteller. o.k. 5 2 Q1, Q2 BC817-16 Vishay u.a. SOT-23 NPN transistor 6 1 D1 KP-3216EC Kingbright 1206 SMD-LED, rot 7 1 D2 1N5817 Vishay u.a. DO41 Shottky-Diode 8 1 ID1 L-53F3BT Kingbright 5mm IR-Sendediode 9 1 DZ1 DC-10EWA Kingbright LED-Leiste, rot 10 2 DIS1, DIS2 SC39 11SRWA Kingbright 7-Segment Anzeige, rot 11 7 RA1, RA2, BCN 16 4A 2200F BI-technologies 1206 Widerstandsnetzwerk, RA3, RA4, RA5, RA6, RA11 CAY16-2200F4 Bourns 220Ohm, andere Hersteller o.k. 12 5 RA7, RA8, RA9, RA12, RA15 13 1 RA10 14 2 RA13, RA14 BCN 16 4A 3300F CAY16-3300F4 BCN 16 4A 0470F CAY16-0470F4 BCN 16 4A 1002F CAY16-1002F4 BI-technologies Bourns BI-technologies Bourns BI-technologies Bourns 1206 Widerstandsnetzwerk, 330Ohm, andere Hersteller o.k. 1206 Widerstandsnetzwerk, 47Ohm, andere Hersteller o.k. 1206 Widerstandsnetzwerk, 10kOhm andere Hersteller o.k. 15 1 R7 68R 0805 Widerstand, 68Ohm 16 1 R6 220R 0805 Widerstand, 220Ohm 17 1 R5 330R 0805 Widerstand, 330Ohm 18 1 R3 1k 0805 Widerstand, 1kOhm 19 2 R8, R9 4k7 0805 Widerstand, 4.7kOhm 20 3 R1, R2, R4 10k 0805 Widerstand, 10kOhm 27 8 C4, C5, C6, C7, C8, C9, C10, C11 100nF 0805 Kondensator, 100nF 29 1 C3 470nF 0805 Kondensator, 470nF 30 2 C1, C2 10µF/35V Ø5mm Elektrolyt-Kondensator, radial bedrahtet 31 1 X1 DB25P-1AON-K87 ITT-Cannon DSUB-25, männlich, 90, 9.4mm, blanker Stecker ohne Winkel und Befestigungen, andere Hersteller o.k. 32 1 S1 BD08 ITT-Cannon DIL16 DIL-Switch, 8-fach, andere Hersteller o.k. 33 1 SW1 SS-22E01 Segor Electronic Schiebeschalter, 2xUM (Distributor) 34 3 S2, S3, S4 PTS645SL50 ITT-Cannon Drucktaster, 6x6mm 35 1 X2 DCBU 1,3-PR/Mini Segor Electronic (Distributor) Hohstecker-Buchse, 1,3mm Stiftdurchmesser 36 1 J1 70260 Molex Stiftleiste 2x10, RM2,54 Bezugsquellen: www.reichelt.de, www.segor.de und andere