Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board

Größe: px
Ab Seite anzeigen:

Download "Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board"

Transkript

1 FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum , Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board Bild 1: Zusatzleiterplatte Ampel HAUPT2 Ampel NEBEN 4 Ampel NEBEN 3 HAUPTSTRASSE Ampel HAUPT1 Bild2: Prinzipdarstellung Leiterplatte Ampel Seite 1 von 19

2 1. Ziel dieses Versuches In diesem Versuch werden Sie synthetisierbare VHDL-Modelle erstellen und die erforderlichen Schritte kennenlernen bis Ihr VHDL-Modell bzw. die entsprechende Schaltung auf einem Xilinx-FPGA des Laborboards untersucht werden kann. Bevor Sie Ihr VHDL-Modell synthetisieren können sind die Entwurfsschritte wie in den vorherigen Versuchen einschließlich der Verifikation mit einer Testbench durchzuführen. Nach erfolgreicher Verifikation werden Sie das Synthese-Werkzeug XST der Firma Xilinx verwenden sowie die Implementierungssoftware (Technology Mapping sowie Platzierung und Verdrahtung) der Firma Xilinx nutzen. Die erforderlichen Werkzeuge sind in der Xilinx-Entwicklungsumgebung ISE integriert. 2. Grundlagen zur Aufgabenstellung Bevor Sie das VHDL-Modell gemäß Spezifikation erstellen, studieren Sie im Skript nochmals die Seiten über die Synthese (getaktete Prozesse, endliche Automaten, Komponenten und Regeln für Synthetisierbarkeit). Machen Sie sich den Entwurfsablauf für die Implementierung einer digitalen Schaltung auf FPGA-Bausteinen nochmals klar. Vergewissern Sie sich, dass Sie das Kapitel Finite State Machines (FSM) des Skriptes vor Versuchsbeginn gelesen und verstanden haben. Weiterhin studieren Sie nochmals die Unterlagen über Automaten (ginf-8.pdf) und Schaltwerke (ginf-9.pdf) der Vorlesung Grundlagen der Informationsverarbeitung (EIT-EMS-314-V-2 bzw ). Die prinzipiell benötigten Informationen für die Werkzeuge von Xilinx sind in der hier vorliegenden Beschreibung zu finden. Weitergehende Informationen finden Sie in den Help- Funktionen der ISE Entwicklungsumgebung. Für diese Entwicklungsumgebung finden Sie ein Icon auf dem Desktop. Weiterführende Informationen zum Laborboard und zum darauf eingesetzten Xilinx-FPGA finden sie im Verzeichnis /docs Ihres Accounts auf den Laborrechnern. In diesem Verzeichnis finden Sie auch ein Dokument zum besseren Verständnis des Synthesereports (Hinweise_Synthesereport) sowie ein Dokument zur Hilfestellung beim Schreiben verständlichen VHDL Codes (Coding_Guidelines); ein Studium dieser beiden genannten Dokumente wird von Ihnen erwartet. Ein nochmaliges Studium des ModelSim Tutorials ist auch empfehlenswert. Die für diesen Versuch benötigten Funktionen der Xilinx Software ISE werden im vierten Abschnitt ausführlicher erläutert. Seite 2 von 19

3 3. Vorgehensweise ampel_top clk reset timer sec_puls clk reset ampel_sm led haupt1 haupt2 neben3 neben Entwerfen Sie die im Abschnitt 4 beschriebene Ampelsteuerung (ampel_sm) als FSM. Testen Sie Ihre Ampelsteuerung mit einer Testbench im Simulator ModelSim. Entwerfen Sie die im Abschnitt 4 beschriebene Einheit timer und testen Sie diese mit einer Testbench. Vervollständigen Sie die Gesamtschaltung (ampel_top) und führen Sie eine Verifikation der Gesamtschaltung durch. Mit Hilfe der Xilinx Tools synthetisieren Sie die Gesamtschaltung und führen Platzierung und Verdrahtung durch. Testen Sie Ihre implementierte Ampelschaltung durch Übertragung der Konfigurationsdaten des FPGA auf das Laborboard mit anschließender Ausführung. 4. Versuchsdurchführung Die gesamte Schaltung (ampel_top) besteht aus zwei Komponenten: einem Automaten (FSM) mit dem entity Namen ampel_sm und einer Schaltung zur Bereitstellung eines Sekundenimpulses mit dem entity Namen (timer). Sie werden nacheinander die VHDL Modelle ampel_sm, timer und ampel_top entwerfen und verifizieren. Die jeweilige entity declaration der drei genannten Schaltungsmodelle ist bereits im Versuchsverzeichnis vorhanden und darf nicht verändert werden. Die genannten VHDL Modelle müssen natürlich den Regeln für synthetisierbare VHDL Modelle entsprechen. Erst nach erfolgreicher Verifikation werden Sie die Schaltung synthetisieren sowie Platzierung und Verdrahtung durchführen. Alle erforderlichen Schritte nach der Verifikation werden mit Werkzeugen der Firma Xilinx durchgeführt und sind in späteren Abschnitten detailliert erläutert. Seite 3 von 19

4 Spezifikation der Ampelsteuerung (ampel_sm) Es soll eine Ampelsteuerung entworfen und auf einem externen Board getestet werden. Die Ampelsteuerung soll die in der nachfolgenden Abbildung gezeigten 8 Phasen einer Ampelanlage nacheinander anzeigen. Hierzu ist eine Modellierung einer FSM gefordert. Die gezeigten 8 Phasen der Ampel sind die möglichen Zustände der FSM. Die Ampelanlage besteht aus je 2 Ampeln für eine Hauptstraße und je 2 Ampeln für eine Nebenstraße. Die 2 Ampeln für die Hauptstraße zeigen jeweils die gleichen Leuchten. Das gleiche gilt für die 2 Ampeln der Nebenstraße. Daher sind im nachfolgenden Bild auch jeweils nur die Leuchten einer Hauptstraßen- bzw. Nebenstraßenampel dargestellt. Beachten Sie, dass für die jeweiligen Phasen auch eine Dauer in Sekunden angegeben ist. Eingänge der Schaltung sind ein Takt (clk), ein Sekundenimpulssignal (sec_puls) und ein asynchroner Reset (reset). Dieser Reset muss bei logisch 1 aktiv sein. Die Ausgänge heißen haupt1, haupt2, neben3 und neben4. Sie sind vom Typ std_logic_vector und jeweils 3 Bit breit. Liegt an einem Ausgang eine logische 1 an, bedeutet dies, dass das entsprechende Licht leuchten soll, entsprechend führt eine 0 zu einem nicht leuchtenden Licht. Die drei Bit entsprechen dabei grün/gelb/rot. Weisen Sie beispielsweise dem Signal haupt1 vom Typ std_logic_vector(2 downto 0) den Wert 001 zu, zeigt die Ampel die Rotphase an. Das FPGA wird mit 50 MHz getaktet; das ist aber für eine Ampel natürlich viel schneller als erforderlich. Daher wird durch das Signal sec_puls das Sekundenraster vorgegeben. Dieses Signal gibt im Abstand von einer Sekunde nur für die Dauer eines Taktes (also 20 ns lang) einen Impuls ( 1 ) aus. Das ermöglicht der Schaltung ampel_sm das Zählen von Sekunden. Sehen Sie hierfür einen Zähler mit geeigneter Bitbreite vor. Überlegen Sie, wie lange damit die längste Ampelphase dauern könnte. Verifizieren Sie Ihre Überlegung noch anhand der Simulation. Seite 4 von 19

5 Spezifikation des Timers (timer) Die oben beschriebene FSM arbeitet mit 50 MHz. Dieser Takt ist durch einen Quarz auf dem Board für das FPGA vorgegeben. Die Ampelphasen sollen jedoch im Sekundenraster definiert werden können. Es ist daher sinnvoll, ein Signal zu definieren, das der State Machine dieses Sekunden-Raster mitteilt. Dazu sollen Sie nun die Komponente timer entwickeln, die im Abstand von einer Sekunde einen Impuls von der Länge eines Taktes ausgibt. Der Eingang dieser Komponente ist der Takt des Boards (50 MHz) sowie der oben beschriebene asynchrone Reset(reset). Der Ausgang ist das Signal für den Sekundenimpuls sec_puls, welches für die Schaltung ampel_sm als Eingang dient. Eine Ampelphase soll exakt 1 Sekunde dauern, das heißt, Sie müssen aus dem Takt von 50 MHz das Signal sec_puls ableiten. Derartige Aufgaben lassen sich gut mit binären Zählern realisieren. Bedenken sie, dass binäre Zähler auch die 0 mitzählen. Implementierung der Spezifikation Implementieren Sie nun die Ampelschaltung mit der beschriebenen Funktionsweise. Dazu sind die Komponenten timer und ampel_sm notwendig. Es ist jeweils im Verzeichnis src ein VHDL-Code vorgegeben, in dem die Entity bereits spezifiziert ist. Ändern Sie die Namen nicht, diese müssen später mit den Vorgaben im User-Constraint- File (ucf-file) übereinstimmen, sonst funktioniert die Implementierung auf dem Board nicht. Erstellen Sie dann die Gesamtschaltung ampel_top bestehend aus diesen beiden Komponenten. Auch dafür finden Sie einen vorgegebenen VHDL-Quellcode, der entsprechend zu vervollständigen ist. Weiterhin sind auf dem Laborboard 8 LED vorhanden. Diese können beispielsweise für Fehlersuche verwendet werden. Bitte nutzen Sie diese LED Anzeigen, um ihre Gruppennummer binär codiert anzugeben. Kompilieren Sie jeweils die entsprechenden VHDL-Codes. Wenn das Kompilieren ohne Fehlermeldung erfolgt, kann mit der Simulation - wie im nachfolgenden Kapitel beschrieben - begonnen werden. Testen der Schaltung Simulieren Sie die Komponenten timer und ampel_sm jeweils für sich. Es ist viel zeitaufwändiger einen Fehler in der Gesamtschaltung zu finden als in den Einzelkomponenten. Schreiben Sie also jeweils eine einfache Testbench für beide Komponenten. Bei einem Takt von 50 MHz erhält die Schaltung ampel_sm jeweils nach 50 Millionen Takten einmal den Sekundenimpuls. In der Simulation dauert das aber sehr lange. Sie können die Taktfrequenz auch kleiner als 50 MHz machen. Überlegen Sie was in Ihrer Testbench zu ändern ist, damit die Sekundenimpulse immer noch einen Abstand von 1 Sekunde haben. Seite 5 von 19

6 Simulieren Sie dann, wenn Sie mit den Einzelergebnissen zufrieden sind, die Gesamtschaltung ampel_top. Sie sollten dabei die Auswirkung des reset sowie den zeitlichen Ablauf von mindestens einem kompletten Ampelzyklus (besser von zwei Ampelzyklen) simulieren. Schreiben Sie auch hier eine Testbench. Worin liegt das Problem bei der Simulation dieser Gesamtschaltung? Könnte man mit einem Trick bei der Komponente timer (siehe Hinweis zur Simulation von ampel_sm) die Simulation der Gesamtschaltung beschleunigen? Fahren Sie mit der nachfolgenden Implementierung des Designs erst fort, wenn alle Simulationsergebnisse zufriedenstellend sind. Die nachfolgenden Schritte werden in der Entwicklungsumgebung der Firma XILINX (ISE, Version 14) ausgeführt. Ein Projekt anlegen Starten Sie das Programm Xilinx ISE 14, dessen Icon auf dem Desktop abgelegt ist. Beachten Sie dabei, dass es auch eine ältere Version dieses Programmes auf dem Desktop gibt, die für unsere Zwecke nicht mehr verwendet werden kann. Das von uns verwendete FPGA wird dort noch nicht unterstützt. Wählen Sie in der ISE den Befehl File >> New Project. Geben Sie im folgenden Dialog einen Projektnamen und den Speicherort an. Der Speicherort muss sich auf dem Laufwerk Z: befinden (z.b. Z:\V5). Top-Level Source Type ist HDL. Es wird auf der Festplatte ein Verzeichnis mit diesem Namen angelegt, in dem alle später erzeugten Dateien zu finden sein werden. Seite 6 von 19

7 Bestätigen Sie mit Next. Seite 7 von 19

8 Ändern Sie in dem erscheinenden Fenster die Eigenschaften exakt wie nachfolgend dargestellt: In der neuen ISE-Version können Sie direkt das Evaluation Development Board auswählen. Dadurch werden Baustein und Gehäuse automatisch korrekt eingestellt. Diese Felder sind dann grau hinterlegt und nicht zusätzlich änderbar. Alternativ müsste man die nächsten 5 Felder mit den oben dargestellten Werten auswählen. Wählen Sie zusätzlich bei Simulator den im Labor verwendeten Modelsim-SE aus. Die ISE-Entwicklungsumgebung von XILINX enthält auch einen eigenen Simulator (ISIM), der aber nicht so leistungsfähig ist wie der Modelsim. Die Angaben bezüglich des Bausteins (Spartan 3AN, XC3S700AN, FGG484) müssen korrekt sein, sonst funktioniert die Schaltung später nicht. Bestätigen Sie dieses Fenster mit Next und das darauffolgende Fenster Project Summary mit Finish. Ihr Projekt ist nun erstellt. Sie sehen jetzt im Fenster den Project Navigator mit einem (noch) leeren Projekt. Seite 8 von 19

9 VHDL-Dateien einem Projekt hinzufügen Um dem Projekt VHDL-Dateien hinzuzufügen, gehen Sie wie folgt vor: - Klicken Sie im Menü: Project >> Add Source - Wählen Sie im Verzeichnis src wie oben dargestellt die zuvor von Ihnen erstellten VHDL-Quelldateien aus. Zusätzlich muss das so genannte ucf-file (user-constraint-file) ausgewählt werden, das von uns vorgegeben wurde. Dort stehen Anweisungen für das Layout wie z.b. die Position der IOs des FPGAs. Sie können diese Datei mit einem Texteditor anschauen, wenn Sie möchten. Seite 9 von 19

10 Die Namen der Signale der Entity in ampel_top.vhd müssen mit den im ucf- File angegebenen Namen übereinstimmen, sonst wird das Übersetzen mit Fehlermeldungen abgebrochen. Ohne das ucf-file wird Ihre Schaltung später auf dem Board nicht funktionieren. Es besteht sogar die Gefahr eines Hardwaredefektes, etwa wenn Ausgänge des FPGAs mit Ausgängen anderer Bausteine kollidieren und dadurch Kurzschlüsse entstehen. - Bestätigen Sie nach korrekter Auswahl der Dateien die Eingabe mit OK. Wurde eine Datei vergessen, kann diese nach der beschriebenen Vorgehensweise nachträglich hinzugefügt werden. Das nachfolgende Bild zeigt die ISE nachdem das Projekt komplett angelegt wurde, und bevor irgendeine Aktion gestartet wurde. Seite 10 von 19

11 Damit ist das Projekt nun vollständig angelegt und alle für uns notwendigen Angaben sind gemacht. Wir können nun mit der Implementierung beginnen. Das Programm ISE von Xilinx hat, wie Sie im oberen Teil des Fensters sehen können, die Hierarchie des Designs automatisch erkannt. Solange dort der Toplevel des Designs angewählt ist, können Sie im zweiten Teil des Fensters (Processes) alle notwendigen Schritte zum Erstellen des physikalischen Layouts (Synthese, Translate, Mappen, Place & Route) und zum Programmieren des FPGAs (Generate Programming File, Configure Target Device) sehen und durch Doppelklicken ausführen. Prinzipiell kann man mit einem Doppelklick auf Generate Programming File den ganzen Prozess automatisch ablaufen lassen. Oft werden dabei in dem einen oder anderen Schritt Fehler auftreten. Deshalb ist es sinnvoll, eine Vorstellung von den Aufgaben der einzelnen Programmteile zu haben. Fast alle Programmteile haben in Ihrem Kontextmenü einen Eintrag Properties, mit dem sich ihr Verhalten konfigurieren lässt. Seite 11 von 19

12 Im folgenden Fenster wurde alles bis Generate Programming File ausgeführt. Aktionen mit grünem Haken wurden problemlos ausgeführt, mit dem gelben Ausrufezeichen waren erfolgreich, es traten aber Warnungen auf. Synthesize Wählt man im Hierarchy die Toplevel-VHDL-Entity aus, so zeigt der Processes View zahlreiche Aktionen an. Die Synthese übernimmt die Übersetzung des VHDL Modells in eine Netzliste. Die Synthese eines VHDL-Modells unterliegt gewissen Einschränkungen im Sprachumfang und Modellierungsstil (siehe Skript). Es muss also damit gerechnet werden, dass bei der Synthese Fehlermeldungen in Konstrukten auftreten, die vom Simulator als korrekt akzeptiert wurden. Die Synthese gibt einen Bericht auf der Konsole aus, der sich auch später noch betrachten lässt (siehe nächste Seite). Wichtig sind dabei natürlich zunächst die Fehlermeldungen und Warnungen. Insbesondere die Warnungen über unvollständige Sensitivitätslisten sollten ernst genommen werden. Bei der Synthese werden die Sensitivitätslisten oftmals als vollständig angenommen, bei der Simulation stets entsprechend der Spezifikation. Dadurch kann es zu Abweichungen zwischen der simulierten und der implementierten Schaltung kommen. Darüber hinaus listet der Synthesis Report auf, welche Schaltungselemente für die Realisierung des VHDL-Modells verwendet wurden. Dies sollte auf Plausibilität Seite 12 von 19

13 geprüft werden. Weiterhin kann das Ergebnis der Synthese mit View RTL- Schematic als Schaltplan angezeigt werden. Will man später noch Reports zu den einzelnen Aktivitäten ansehen, geschieht das in den rechten Fenstern der ISE. Vorhandene Reports werden schwarz dargestellt, grau hinterlegte Reports sind (noch) nicht verfügbar. Die entsprechenden Aktionen wurden bisher nicht ausgeführt. Im Fenster oben links wird der gewünschte Report ausgewählt (hier Synthesis Report). Im Fenster unten links kann wie in einem Inhaltsverzeichnis zu bestimmten Kapiteln gesprungen werden (hier: HDL Compilation). Rechts ist das entsprechende Textfenster, in dem beliebig gescrollt werden kann. Suchen Sie damit die unten abgefragten Informationen im Synthese Report. Weitere generelle Hinweise zum Synthesereport finden sich im Verzeichnis /doc. Seite 13 von 19

14 Synthesesergebnisse: Bitte geben Sie untenstehend bei der Synthese erkannten Register (mit Anzahl der FlipFlops) und arithmetischen Einheiten (z.b. Zähler, Addierer, Vergleicher) mit Bitbreiten sowie die zugehörigen Signale an. Weiterhin geben Sie untenstehend die bei der Synthese erkannten FSM-Einheiten mit Angabe des Signals für den Zustand an. Geben Sie die Gesamtzahl der benötigten LUTs und FFs an. Geben Sie die maximal erreichbare Taktfrequenz an. Register: Arithmetische Einheiten FSM #LUTs: #FF: Max. Taktfrequenz: Seite 14 von 19

15 Implement Design: Translate Erst mit der Implementierung beginnt die Anpassung an die FPGA Technologie. Der erste Schritt Translate führt im Wesentlichen nur eine Konvertierung verschiedener Dateiformate durch und muss in diesem Versuch nicht weiter beachtet werden. Dieser Schritt kann wichtig sein, wenn mehrere Schaltungsteile, die in verschiedenen Formaten beschrieben sind (z.b. Netzliste und VHDL) zusammengeführt werden müssen (siehe Versuch 6). Map Dieser Schritt nimmt die eigentliche Technologieanpassung vor. Dabei wird die von der Synthese erzeugte abstrakte RTL-Netzliste mit den auf dem FPGA verfügbaren Elementen realisiert. Der dabei erstellte Map-Report enthält zahlreiche nützliche Informationen. Zum Beispiel kann man dem Abschnitt Design Summary entnehmen, wie viele LUTs und Flip-Flops benötigt werden. Place & Route Dies ist der aufwändigste Teil der Implementierung. Die Software versucht dabei, eine optimale Anordnung der Schaltungsteile im FPGA zu finden und diese dann mit den vorhandenen Ressourcen zu verdrahten. Bei den erzeugten Reports ist unbedingt der Pad-Report zu kontrollieren, ob die Einstellungen der IO-Pins korrekt sind. Es wird eine Datei designname.pad erzeugt, die schlecht lesbar ist. Es gibt weiter eine designname_pad.txt die so formatiert ist, dass sie mit einem Texteditor gut lesbar ist. Verwenden Sie diese Datei. In Ihr müssen alle Signale die in der Entity vorkommen in der Spalte Signal Name aufgeführt sein und in der Spalte Constraint als LOCATED markiert sein. Fehlt dieser Eintrag wurde die Pin- Location vom System automatisch vergeben und ist in unserem Fall falsch. Der Post Place & Route Static Timing Report informiert unter anderem, mit welcher Taktfrequenz die Schaltung höchstens betrieben werden kann. Überprüfen Sie, ob die Schaltung mit den vorgegebenen 50MHz funktioniert. Lassen Sie sich mit View/Edit Routed Design (FPGA Editor) das Layout der Schaltung im FPGA grafisch anzeigen. Nachdem man etwas hineingezoomt hat, sieht man die einzelnen Slices. Durch Doppelklick auf ein Slice kann man sich die Konfiguration des Slices anzeigen lassen. Der FPGA-Editor steht im kostenlosen WebPack nicht zur Verfügung. Seite 15 von 19

16 Generate Programming File Als letzter Schritt wird die generierte FPGA-Konfiguration so formatiert, wie sie im Konfigurationsspeicher des FPGAs vorliegen muss. Das Ergebnis nennt sich dann Konfigurationsbitstream oder kurz Bitstream und wird in Dateien mit der Endung *.bit gespeichert. Für diesen Versuch können dafür die Standardeinstellungen verwendet werden. Im Allgemeinen muss bei der Bitstreamgenerierung angegeben werden, wie sich der FPGA während und kurz nach der Konfiguration verhält. Nach fehlerfreiem Verlauf der oben beschriebenen Punkte kann das FPGA jetzt mit der Funktion unserer Ampel programmiert werden. Dazu verwenden wir das Programm impact wie nachfolgend beschrieben. Seite 16 von 19

17 Für die nachfolgenden Schritte muss das XILINX-Entwicklungsboard mit einem USB-Kabel an Ihrem Rechner angeschlossen und eingeschaltet sein! Das ist mit Xterminals nicht möglich! Starten Sie das Programm impact (Doppelklick oder rechte Maustaste -> Run). Nun wird die Programmierungskette eingestellt und die zu verwendenden Bitstreams ausgewählt. Doppelklicken Sie Boundary Scan und danach File -> Initialize Chain. Seite 17 von 19

18 (Wurde kein Baustein erkannt, haben Sie vielleicht vergessen das Board über USB- Kabel am Computer anzuschließen oder einzuschalten) Sie werden jetzt automatisch nach den Dateien gefragt, die für die Programmierung verwendet werden sollen. Bestätigen Sie mit Yes und weisen Sie dem FPGA das zuvor erzeugte Bitfile für die Ampel zu: Seite 18 von 19

19 Für den zweiten Baustein (xcf04s) geben Sie Bypass an. Er wird also nicht programmiert. Das nachfolgende Fenster Device Programming Properties bestätigen Sie einfach mit OK. Jetzt sollte das Fenster wie folgt aussehen: Führen Sie jetzt Program FPGA Only aus und nicht den ersten Punkt Flash und FPGA, damit würde die Standardkonfiguration zum Testen des Boards nach Einschalten gelöscht! Nach einer Änderung des VHDL-Codes ist die Generierung eines neuen Bitstreams beginnend mit der Synthese und der erneute Download auf das Board zwingend. Der Reset liegt auf dem Schiebeschalter rechts (SW0) und ist in der oberen Position (logic 1) aktiv. Bei aktivem Reset sollten alle Ampeln Rot anzeigen (fail save). Seite 19 von 19

Einstellungen im Internet-Explorer (IE) (Stand 11/2013) für die Arbeit mit IOS2000 und DIALOG

Einstellungen im Internet-Explorer (IE) (Stand 11/2013) für die Arbeit mit IOS2000 und DIALOG Einstellungen im Internet-Explorer (IE) (Stand 11/2013) für die Arbeit mit IOS2000 und DIALOG Um mit IOS2000/DIALOG arbeiten zu können, benötigen Sie einen Webbrowser. Zurzeit unterstützen wir ausschließlich

Mehr

Datensicherung. Beschreibung der Datensicherung

Datensicherung. Beschreibung der Datensicherung Datensicherung Mit dem Datensicherungsprogramm können Sie Ihre persönlichen Daten problemlos Sichern. Es ist möglich eine komplette Datensicherung durchzuführen, aber auch nur die neuen und geänderten

Mehr

Es sollte die MS-DOS Eingabeaufforderung starten. Geben Sie nun den Befehl javac ein.

Es sollte die MS-DOS Eingabeaufforderung starten. Geben Sie nun den Befehl javac ein. Schritt 1: Installation des Javacompilers JDK. Der erste Start mit Eclipse Bevor Sie den Java-Compiler installieren sollten Sie sich vergewissern, ob er eventuell schon installiert ist. Gehen sie wie folgt

Mehr

Installation und Inbetriebnahme von Microsoft Visual C++ 2010 Express

Installation und Inbetriebnahme von Microsoft Visual C++ 2010 Express Howto Installation und Inbetriebnahme von Microsoft Visual C++ 2010 Express Peter Bitterlich Markus Langer 12. Oktober 2012 Zusammenfassung Dieses Dokument erklärt Schritt für Schritt die Installation

Mehr

Die nachfolgende Anleitung zeigt die Vorgehensweise unter Microsoft Windows Vista.

Die nachfolgende Anleitung zeigt die Vorgehensweise unter Microsoft Windows Vista. Schritt für Schritt Anleitung zur Einrichtung Ihrer neuen Festplatte Die nachfolgende Anleitung zeigt die Vorgehensweise unter Microsoft Windows Vista. Schließen Sie Ihre Festplatte an Ihrem Computer an.

Mehr

Novell Client. Anleitung. zur Verfügung gestellt durch: ZID Dezentrale Systeme. Februar 2015. ZID Dezentrale Systeme

Novell Client. Anleitung. zur Verfügung gestellt durch: ZID Dezentrale Systeme. Februar 2015. ZID Dezentrale Systeme Novell Client Anleitung zur Verfügung gestellt durch: ZID Dezentrale Systeme Februar 2015 Seite 2 von 8 Mit der Einführung von Windows 7 hat sich die Novell-Anmeldung sehr stark verändert. Der Novell Client

Mehr

Kurzanleitung. MEYTON Aufbau einer Internetverbindung. 1 Von 11

Kurzanleitung. MEYTON Aufbau einer Internetverbindung. 1 Von 11 Kurzanleitung MEYTON Aufbau einer Internetverbindung 1 Von 11 Inhaltsverzeichnis Installation eines Internetzugangs...3 Ist mein Router bereits im MEYTON Netzwerk?...3 Start des YAST Programms...4 Auswahl

Mehr

PDF-Erzeugung mit PDFCreator (nur für Windows, installiert universellen PDF-Druckertreiber) v11/01

PDF-Erzeugung mit PDFCreator (nur für Windows, installiert universellen PDF-Druckertreiber) v11/01 PDF-Erzeugung mit PDFCreator (nur für Windows, installiert universellen PDF-Druckertreiber) v11/01 Erläuterung PDFCreator ist eine Software zur Erzeugung von PDF-Dateien unter Windows. Es kann in all den

Mehr

Überprüfung der digital signierten E-Rechnung

Überprüfung der digital signierten E-Rechnung Überprüfung der digital signierten E-Rechnung Aufgrund des BMF-Erlasses vom Juli 2005 (BMF-010219/0183-IV/9/2005) gelten ab 01.01.2006 nur noch jene elektronischen Rechnungen als vorsteuerabzugspflichtig,

Mehr

Installationsanleitung unter Windows

Installationsanleitung unter Windows Installationsanleitung unter Windows Verwenden Sie zur Installation ein Benutzerkonto mit Administratorberechtigung! 1. Download des aktuellen Backup-Client und des Sprachpakets: 1.1 Windows: ftp://ftp.software.ibm.com/storage/tivoli-storage-management/maintenance/client/v6r2/windows/

Mehr

Urlaubsregel in David

Urlaubsregel in David Urlaubsregel in David Inhaltsverzeichnis KlickDown Beitrag von Tobit...3 Präambel...3 Benachrichtigung externer Absender...3 Erstellen oder Anpassen des Anworttextes...3 Erstellen oder Anpassen der Auto-Reply-Regel...5

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Starten der Software unter Windows 7

Starten der Software unter Windows 7 Starten der Software unter Windows 7 Im Folgenden wird Ihnen Schritt für Schritt erklärt, wie Sie Ihr persönliches CONTOUR NEXT USB auf dem Betriebssystem Ihrer Wahl starten und benutzen. Schritt 1. Stecken

Mehr

1 Einleitung. Lernziele. Symbolleiste für den Schnellzugriff anpassen. Notizenseiten drucken. eine Präsentation abwärtskompatibel speichern

1 Einleitung. Lernziele. Symbolleiste für den Schnellzugriff anpassen. Notizenseiten drucken. eine Präsentation abwärtskompatibel speichern 1 Einleitung Lernziele Symbolleiste für den Schnellzugriff anpassen Notizenseiten drucken eine Präsentation abwärtskompatibel speichern eine Präsentation auf CD oder USB-Stick speichern Lerndauer 4 Minuten

Mehr

Mediumwechsel - VR-NetWorld Software

Mediumwechsel - VR-NetWorld Software Mediumwechsel - VR-NetWorld Software Die personalisierte VR-BankCard mit HBCI wird mit einem festen Laufzeitende ausgeliefert. Am Ende der Laufzeit müssen Sie die bestehende VR-BankCard gegen eine neue

Mehr

Speichern. Speichern unter

Speichern. Speichern unter Speichern Speichern unter Speichern Auf einem PC wird ständig gespeichert. Von der Festplatte in den Arbeitspeicher und zurück Beim Download Beim Kopieren Beim Aufruf eines Programms Beim Löschen Beim

Mehr

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Diese Verbindung muss einmalig eingerichtet werden und wird benötigt, um den Zugriff vom privaten Rechner oder der Workstation im Home Office über

Mehr

Leitfaden zur ersten Nutzung der R FOM Portable-Version für Windows (Version 1.0)

Leitfaden zur ersten Nutzung der R FOM Portable-Version für Windows (Version 1.0) Leitfaden zur ersten Nutzung der R FOM Portable-Version für Windows (Version 1.0) Peter Koos 03. Dezember 2015 0 Inhaltsverzeichnis 1 Voraussetzung... 3 2 Hintergrundinformationen... 3 2.1 Installationsarten...

Mehr

Mediumwechsel - VR-NetWorld Software

Mediumwechsel - VR-NetWorld Software Mediumwechsel - VR-NetWorld Software Die personalisierte VR-NetWorld-Card wird mit einem festen Laufzeitende ausgeliefert. Am Ende der Laufzeit müssen Sie die bestehende VR-NetWorld-Card gegen eine neue

Mehr

Er musste so eingerichtet werden, dass das D-Laufwerk auf das E-Laufwerk gespiegelt

Er musste so eingerichtet werden, dass das D-Laufwerk auf das E-Laufwerk gespiegelt Inhaltsverzeichnis Aufgabe... 1 Allgemein... 1 Active Directory... 1 Konfiguration... 2 Benutzer erstellen... 3 Eigenes Verzeichnis erstellen... 3 Benutzerkonto erstellen... 3 Profil einrichten... 5 Berechtigungen

Mehr

Einrichten einer Festplatte mit FDISK unter Windows 95/98/98SE/Me

Einrichten einer Festplatte mit FDISK unter Windows 95/98/98SE/Me Einrichten einer Festplatte mit FDISK unter Windows 95/98/98SE/Me Bevor Sie die Platte zum ersten Mal benutzen können, muss sie noch partitioniert und formatiert werden! Vorher zeigt sich die Festplatte

Mehr

Netzwerk einrichten unter Windows

Netzwerk einrichten unter Windows Netzwerk einrichten unter Windows Schnell und einfach ein Netzwerk einrichten unter Windows. Kaum ein Rechner kommt heute mehr ohne Netzwerkverbindungen aus. In jedem Rechner den man heute kauft ist eine

Mehr

Python Installation. 1 Vorbereitung. 1.1 Download. Diese Anleitung ist für Windows ausgelegt.

Python Installation. 1 Vorbereitung. 1.1 Download. Diese Anleitung ist für Windows ausgelegt. Python Installation 1 Vorbereitung Diese Anleitung ist für Windows ausgelegt. 1.1 Download Python kann online unter https://www.python.org/downloads/ heruntergeladen werden. Hinweis: Im CoderDojo verwenden

Mehr

Durchführung der Datenübernahme nach Reisekosten 2011

Durchführung der Datenübernahme nach Reisekosten 2011 Durchführung der Datenübernahme nach Reisekosten 2011 1. Starten Sie QuickSteuer Deluxe 2010. Rufen Sie anschließend über den Menüpunkt /Extras/Reisekosten Rechner den QuickSteuer Deluxe 2010 Reisekosten-Rechner,

Mehr

Installation / Aktualisierung von Druckertreibern unter Windows 7

Installation / Aktualisierung von Druckertreibern unter Windows 7 Rechenzentrum Installation / Aktualisierung von Druckertreibern unter Windows 7 Es gibt drei verschiedene Wege, um HP-Druckertreiber unter Windows7 zu installieren: (Seite) 1. Automatische Installation...

Mehr

Einkaufslisten verwalten. Tipps & Tricks

Einkaufslisten verwalten. Tipps & Tricks Tipps & Tricks INHALT SEITE 1.1 Grundlegende Informationen 3 1.2 Einkaufslisten erstellen 4 1.3 Artikel zu einer bestehenden Einkaufsliste hinzufügen 9 1.4 Mit einer Einkaufslisten einkaufen 12 1.4.1 Alle

Mehr

Wie benutzt man TortoiseSVN

Wie benutzt man TortoiseSVN Wie benutzt man TortoiseSVN 1 Inhaltsverzeichnis 1.Benötigte Vorkenntnisse...3 2.Benötigte Software...3 3.Schritt 1 Software installieren...3 4.Schritt 2 einen Ordner anlegen...3 5.Schritt 3 Projekt auschecken...4

Mehr

Wir wünschen Ihnen viel Freude und Erfolg mit Ihrem neuen X-PRO-USB-Interface. Ihr Hacker-Team

Wir wünschen Ihnen viel Freude und Erfolg mit Ihrem neuen X-PRO-USB-Interface. Ihr Hacker-Team Installationsanleitung Hacker X-PRO-USB-Controller V2 Software + Interface Sehr geehrter Kunde, wir freuen uns, dass Sie sich für das X-PRO-USB-V2-Interface aus unserem Sortiment entschieden haben. Sie

Mehr

Folgeanleitung für Klassenlehrer

Folgeanleitung für Klassenlehrer Folgeanleitung für Klassenlehrer 1. Das richtige Halbjahr einstellen Stellen sie bitte zunächst das richtige Schul- und Halbjahr ein. Ist das korrekte Schul- und Halbjahr eingestellt, leuchtet die Fläche

Mehr

Folgeanleitung für Fachlehrer

Folgeanleitung für Fachlehrer 1. Das richtige Halbjahr einstellen Folgeanleitung für Fachlehrer Stellen sie bitte zunächst das richtige Schul- und Halbjahr ein. Ist das korrekte Schul- und Halbjahr eingestellt, leuchtet die Fläche

Mehr

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 Die Installation der FuxMedia Software erfolgt erst NACH Einrichtung des Netzlaufwerks! Menüleiste einblenden, falls nicht vorhanden Die

Mehr

Tipp: Proxy Ausschalten ohne Software Tools

Tipp: Proxy Ausschalten ohne Software Tools Tipp: Proxy Ausschalten ohne Software Tools Die Situation: Sie haben den KinderServer installiert und wieder deinstalliert und der Surfraum ist weiterhin beschränkt auf Kinder Seiten. Der Grund: Die verschiedenen

Mehr

Kapitel 3 Frames Seite 1

Kapitel 3 Frames Seite 1 Kapitel 3 Frames Seite 1 3 Frames 3.1 Allgemeines Mit Frames teilt man eine HTML-Seite in mehrere Bereiche ein. Eine Seite, die mit Frames aufgeteilt ist, besteht aus mehreren Einzelseiten, die sich den

Mehr

Kommunikations-Management

Kommunikations-Management Tutorial: Wie kann ich E-Mails schreiben? Im vorliegenden Tutorial lernen Sie, wie Sie in myfactory E-Mails schreiben können. In myfactory können Sie jederzeit schnell und einfach E-Mails verfassen egal

Mehr

Handbuch B4000+ Preset Manager

Handbuch B4000+ Preset Manager Handbuch B4000+ Preset Manager B4000+ authentic organ modeller Version 0.6 FERROFISH advanced audio applications Einleitung Mit der Software B4000+ Preset Manager können Sie Ihre in der B4000+ erstellten

Mehr

Installationsanleitungen

Installationsanleitungen Installationsanleitungen INPA SGBD-Entwicklungsumgebung (EDIABAS) INPA für Entwickler Bevor Sie EDIABAS / INPA installieren können, müssen Sie sich für den Ordner sgref auf smuc0900 freischalten lassen.

Mehr

Wie halte ich Ordnung auf meiner Festplatte?

Wie halte ich Ordnung auf meiner Festplatte? Wie halte ich Ordnung auf meiner Festplatte? Was hältst du von folgender Ordnung? Du hast zu Hause einen Schrank. Alles was dir im Wege ist, Zeitungen, Briefe, schmutzige Wäsche, Essensreste, Küchenabfälle,

Mehr

MO1 <logo otra empresa> MO1Sync Installationshandbuch MO1. MO1Sync Installationshandbuch -1-

MO1 <logo otra empresa> MO1Sync Installationshandbuch MO1. MO1Sync Installationshandbuch -1- MO1-1- Inhaltsverzeichnis: 1. Einleitung... 3 2. Unbedingte Anforderungen... 3 3. Driver-Installation Schritt für Schritt... 3 3.1 Driver Installation: Schritt 1... 3 3.2 Driver Installation: Schritt 2...

Mehr

Wichtige Hinweise zu den neuen Orientierungshilfen der Architekten-/Objektplanerverträge

Wichtige Hinweise zu den neuen Orientierungshilfen der Architekten-/Objektplanerverträge Wichtige Hinweise zu den neuen Orientierungshilfen der Architekten-/Objektplanerverträge Ab der Version forma 5.5 handelt es sich bei den Orientierungshilfen der Architekten-/Objektplanerverträge nicht

Mehr

1 Konto für HBCI/FinTS mit Chipkarte einrichten

1 Konto für HBCI/FinTS mit Chipkarte einrichten 1 Konto für HBCI/FinTS mit Chipkarte einrichten Um das Verfahren HBCI/FinTS mit Chipkarte einzusetzen, benötigen Sie einen Chipkartenleser und eine Chipkarte. Die Chipkarte erhalten Sie von Ihrem Kreditinstitut.

Mehr

Anleitung zur Daten zur Datensicherung und Datenrücksicherung. Datensicherung

Anleitung zur Daten zur Datensicherung und Datenrücksicherung. Datensicherung Anleitung zur Daten zur Datensicherung und Datenrücksicherung Datensicherung Es gibt drei Möglichkeiten der Datensicherung. Zwei davon sind in Ges eingebaut, die dritte ist eine manuelle Möglichkeit. In

Mehr

ELO Print&Archive so nutzen Sie es richtig

ELO Print&Archive so nutzen Sie es richtig ELO Print&Archive so nutzen Sie es richtig Die Einrichtung Ihres ersten Dokumententyps Im folgenden Beispiel möchten wir Ihnen genauer erläutern, wie Sie das neue Modul ELO Print&Archive, das automatisch

Mehr

Mediator 9 - Lernprogramm

Mediator 9 - Lernprogramm Mediator 9 - Lernprogramm Ein Lernprogramm mit Mediator erstellen Mediator 9 bietet viele Möglichkeiten, CBT-Module (Computer Based Training = Computerunterstütztes Lernen) zu erstellen, z. B. Drag & Drop

Mehr

Herzlich Willkommen bei der BITel!

Herzlich Willkommen bei der BITel! Herzlich Willkommen bei der BITel! Damit Sie auch unterwegs mit dem iphone Ihre E-Mails abrufen können, zeigen wir Ihnen Schritt für Schritt wie Sie Ihr BITel-Postfach im iphone einrichten. Los geht's:

Mehr

Web-Kürzel. Krishna Tateneni Yves Arrouye Deutsche Übersetzung: Stefan Winter

Web-Kürzel. Krishna Tateneni Yves Arrouye Deutsche Übersetzung: Stefan Winter Krishna Tateneni Yves Arrouye Deutsche Übersetzung: Stefan Winter 2 Inhaltsverzeichnis 1 Web-Kürzel 4 1.1 Einführung.......................................... 4 1.2 Web-Kürzel.........................................

Mehr

In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können.

In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können. Tutorial: Wie erfasse ich einen Termin? In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können. Neben den allgemeinen Angaben zu einem

Mehr

Einführung zum Arbeiten mit Microsoft Visual C++ 2010 Express Edition

Einführung zum Arbeiten mit Microsoft Visual C++ 2010 Express Edition In den nachfolgenden Schritten finden Sie beschrieben, wie Sie in der Entwicklungsumgebung Microsoft Visual Studio 2010 eine Projektmappe, ein Projekt und einen ersten Quellcode erstellen, diesen kompilieren,

Mehr

2. Konfiguration der Adobe Software für die Überprüfung von digitalen Unterschriften

2. Konfiguration der Adobe Software für die Überprüfung von digitalen Unterschriften 1. Digital signierte Rechnungen Nach 11 Abs. 2 zweiter Unterabsatz UStG 1994 gilt eine auf elektronischem Weg übermittelte Rechnung nur dann als Rechnung im Sinne des 11 UStG 1994, wenn die Echtheit der

Mehr

Windows 7 Winbuilder USB Stick

Windows 7 Winbuilder USB Stick Windows 7 Winbuilder USB Stick Benötigt wird das Programm: Winbuilder: http://www.mediafire.com/?qqch6hrqpbem8ha Windows 7 DVD Der Download wird in Form einer gepackten Datei (7z) angeboten. Extrahieren

Mehr

1 Dokumentenmanagement

1 Dokumentenmanagement 1 Dokumentenmanagement Das Dokumentenmanagement des GV Büro-System ist ein äußerst leistungsfähiges und mächtiges Tool. Es ist in der Lage, nahezu sämtliche Arten von Dokumenten auf einfache Art und Weise

Mehr

SICHERN DER FAVORITEN

SICHERN DER FAVORITEN Seite 1 von 7 SICHERN DER FAVORITEN Eine Anleitung zum Sichern der eigenen Favoriten zur Verfügung gestellt durch: ZID Dezentrale Systeme März 2010 Seite 2 von 7 Für die Datensicherheit ist bekanntlich

Mehr

Outlook 2000 Thema - Archivierung

Outlook 2000 Thema - Archivierung interne Schulungsunterlagen Outlook 2000 Thema - Inhaltsverzeichnis 1. Allgemein... 3 2. Grundeinstellungen für die Auto in Outlook... 3 3. Auto für die Postfach-Ordner einstellen... 4 4. Manuelles Archivieren

Mehr

MSI TECHNOLOGY. RaidXpert AMD. Anleitung zur Installation und Konfiguration MSI

MSI TECHNOLOGY. RaidXpert AMD. Anleitung zur Installation und Konfiguration MSI MSI TECHNOLOGY GMBH RaidXpert AMD Anleitung zur Installation und Konfiguration MSI RaidXpert AMD Inhalt 1.0 Voreinstellungen für ein Raid System im BIOS... 3 2.0 Einstellungen für ein Raid System im Utility...

Mehr

Pascal-Compiler für den Attiny

Pascal-Compiler für den Attiny Das Attiny-Projekt MikroPascal 1 Pascal-Compiler für den Attiny Manch einer möchte vielleicht unsere Attiny-Platine nicht mit BASCOM programmieren. Verschiedene Alternativen bieten sich an. Ein besonders

Mehr

1. Zugriff des Autostarts als Dienst auf eine Freigabe im Netz

1. Zugriff des Autostarts als Dienst auf eine Freigabe im Netz JANUS Zeitwirtschaft Autostart als Dienst Sinn und Zweck Berechtigungen können bei Windows-Systemen sowohl auf Dateisystem-Ebene als auch auf Freigabe-Ebene gesetzt werden. Auf den nächsten Seiten erhalten

Mehr

Sich einen eigenen Blog anzulegen, ist gar nicht so schwer. Es gibt verschiedene Anbieter. www.blogger.com ist einer davon.

Sich einen eigenen Blog anzulegen, ist gar nicht so schwer. Es gibt verschiedene Anbieter. www.blogger.com ist einer davon. www.blogger.com Sich einen eigenen Blog anzulegen, ist gar nicht so schwer. Es gibt verschiedene Anbieter. www.blogger.com ist einer davon. Sie müssen sich dort nur ein Konto anlegen. Dafür gehen Sie auf

Mehr

Starten der Software unter Windows XP

Starten der Software unter Windows XP Starten der Software unter Windows XP Im Folgenden wird Ihnen Schritt für Schritt erklärt, wie Sie Ihr persönliches CONTOUR NEXT USB auf dem Betriebssystem Ihrer Wahl starten und benutzen. Schritt 1. Stecken

Mehr

Anleitung zum Firmware-Update für das 8 INTENSOTAB

Anleitung zum Firmware-Update für das 8 INTENSOTAB Anleitung zum Firmware-Update für das 8 INTENSOTAB Dieses Update bringt Ihnen die Vorteile von Android 4.0 Ice Cream Sandwich auf Ihr 8 INTENSOTAB. Wichtig: - Bitte beachten Sie, dass es durch den Updatevorgang

Mehr

Datenübernahme von HKO 5.9 zur. Advolux Kanzleisoftware

Datenübernahme von HKO 5.9 zur. Advolux Kanzleisoftware Datenübernahme von HKO 5.9 zur Advolux Kanzleisoftware Die Datenübernahme (DÜ) von HKO 5.9 zu Advolux Kanzleisoftware ist aufgrund der von Update zu Update veränderten Datenbank (DB)-Strukturen in HKO

Mehr

ACHTUNG: Es können gpx-dateien und mit dem GP7 aufgezeichnete trc-dateien umgewandelt werden.

ACHTUNG: Es können gpx-dateien und mit dem GP7 aufgezeichnete trc-dateien umgewandelt werden. Track in Route umwandeln ACHTUNG: Ein Track kann nur dann in eine Route umgewandelt werden, wenn der Track auf Wegen gefahren wurde. Ein Querfeldein-Track kann nicht in eine Route umgewandelt werden, da

Mehr

Dokumentation IBIS Monitor

Dokumentation IBIS Monitor Dokumentation IBIS Monitor Seite 1 von 16 11.01.06 Inhaltsverzeichnis 1. Allgemein 2. Installation und Programm starten 3. Programmkonfiguration 4. Aufzeichnung 4.1 Aufzeichnung mitschneiden 4.1.1 Inhalt

Mehr

Installation Server HASP unter Windows 2008 R2 Server 1 von 15. Inhaltsverzeichnis

Installation Server HASP unter Windows 2008 R2 Server 1 von 15. Inhaltsverzeichnis Installation Server HASP unter Windows 2008 R2 Server 1 von 15 Inhaltsverzeichnis 1.1. Allgemeines zum Server HASP...2 1.2. Installation des Sentinel HASP License Manager (Windows Dienst) auf dem Windows

Mehr

MetaQuotes Empfehlungen zum Gebrauch von

MetaQuotes Empfehlungen zum Gebrauch von MetaQuotes Empfehlungen zum Gebrauch von MetaTrader 4 auf Mac OS Auch wenn viele kommerzielle Angebote im Internet existieren, so hat sich MetaQuotes, der Entwickler von MetaTrader 4, dazu entschieden

Mehr

Faktura. IT.S FAIR Faktura. Handbuch. Dauner Str.12, D-41236 Mönchengladbach, Hotline: 0900/1 296 607 (1,30 /Min)

Faktura. IT.S FAIR Faktura. Handbuch. Dauner Str.12, D-41236 Mönchengladbach, Hotline: 0900/1 296 607 (1,30 /Min) IT.S FAIR Faktura Handbuch Dauner Str.12, D-41236 Mönchengladbach, Hotline: 0900/1 296 607 (1,30 /Min) 1. Inhalt 1. Inhalt... 2 2. Wie lege ich einen Kontakt an?... 3 3. Wie erstelle ich eine Aktion für

Mehr

ARAkoll 2013 Dokumentation. Datum: 21.11.2012

ARAkoll 2013 Dokumentation. Datum: 21.11.2012 ARAkoll 2013 Dokumentation Datum: 21.11.2012 INHALT Allgemeines... 3 Funktionsübersicht... 3 Allgemeine Funktionen... 3 ARAmatic Symbolleiste... 3 Monatsprotokoll erzeugen... 4 Jahresprotokoll erzeugen

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

Folgende Einstellungen sind notwendig, damit die Kommunikation zwischen Server und Client funktioniert:

Folgende Einstellungen sind notwendig, damit die Kommunikation zwischen Server und Client funktioniert: Firewall für Lexware professional konfigurieren Inhaltsverzeichnis: 1. Allgemein... 1 2. Einstellungen... 1 3. Windows XP SP2 und Windows 2003 Server SP1 Firewall...1 4. Bitdefender 9... 5 5. Norton Personal

Mehr

Standard Daten-Backup-Script

Standard Daten-Backup-Script Inhaltsverzeichnis 1. Installations-Anleitung... 2 2. Ausführen manuelle Backups... 5 3. Backup-Script beim Abmelden ausführen... 6 4. Backup-Script zum Task-Planer hinzufügen... 8 2010 web-net.ch, Seite

Mehr

Qt-Projekte mit Visual Studio 2005

Qt-Projekte mit Visual Studio 2005 Qt-Projekte mit Visual Studio 2005 Benötigte Programme: Visual Studio 2005 Vollversion, Microsoft Qt 4 Open Source s. Qt 4-Installationsanleitung Tabelle 1: Benötigte Programme für die Qt-Programmierung

Mehr

Punkt 1 bis 11: -Anmeldung bei Schlecker und 1-8 -Herunterladen der Software

Punkt 1 bis 11: -Anmeldung bei Schlecker und 1-8 -Herunterladen der Software Wie erzeugt man ein Fotobuch im Internet bei Schlecker Seite Punkt 1 bis 11: -Anmeldung bei Schlecker und 1-8 -Herunterladen der Software Punkt 12 bis 24: -Wir arbeiten mit der Software 8-16 -Erstellung

Mehr

2. Die eigenen Benutzerdaten aus orgamax müssen bekannt sein

2. Die eigenen Benutzerdaten aus orgamax müssen bekannt sein Einrichtung von orgamax-mobil Um die App orgamax Heute auf Ihrem Smartphone nutzen zu können, ist eine einmalige Einrichtung auf Ihrem orgamax Rechner (bei Einzelplatz) oder Ihrem orgamax Server (Mehrplatz)

Mehr

TeamSpeak3 Einrichten

TeamSpeak3 Einrichten TeamSpeak3 Einrichten Version 1.0.3 24. April 2012 StreamPlus UG Es ist untersagt dieses Dokument ohne eine schriftliche Genehmigung der StreamPlus UG vollständig oder auszugsweise zu reproduzieren, vervielfältigen

Mehr

Installation der Konfigurationsdateien für alle Windows-Versionen bis einschließlich Microsoft Windows 7

Installation der Konfigurationsdateien für alle Windows-Versionen bis einschließlich Microsoft Windows 7 Installation der Konfigurationsdateien für alle Windows-Versionen bis einschließlich Microsoft Windows 7 Zur Arbeitserleichterung und für einen reibungslosen Übungsbetrieb ist es zwingend notwendig, sowohl

Mehr

Wichtig: Um das Software Update für Ihr Messgerät herunterzuladen und zu installieren, müssen Sie sich in einem der folgenden Länder befinden:

Wichtig: Um das Software Update für Ihr Messgerät herunterzuladen und zu installieren, müssen Sie sich in einem der folgenden Länder befinden: Ein Software Update für das FreeStyle InsuLinx Messgerät ist erforderlich. Lesen Sie dieses Dokument sorgfältig durch, bevor Sie mit dem Update beginnen. Die folgenden Schritte führen Sie durch den Prozess

Mehr

Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken.

Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken. Seite erstellen Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken. Es öffnet sich die Eingabe Seite um eine neue Seite zu erstellen. Seiten Titel festlegen Den neuen

Mehr

AUF LETZTER SEITE DIESER ANLEITUNG!!!

AUF LETZTER SEITE DIESER ANLEITUNG!!! BELEG DATENABGLEICH: Der Beleg-Datenabgleich wird innerhalb des geöffneten Steuerfalls über ELSTER-Belegdaten abgleichen gestartet. Es werden Ihnen alle verfügbaren Belege zum Steuerfall im ersten Bildschirm

Mehr

1. Einführung. 2. Weitere Konten anlegen

1. Einführung. 2. Weitere Konten anlegen 1. Einführung In orgamax stehen Ihnen die gängigsten Konten des Kontenrahmens SKR03 und SKR04 zur Verfügung. Damit sind im Normalfall alle Konten abgedeckt, die Sie zur Verbuchung benötigen. Eine ausführliche

Mehr

Eine Einführung in die Installation und Nutzung von cygwin

Eine Einführung in die Installation und Nutzung von cygwin Eine Einführung in die Installation und Nutzung von cygwin 1 1. Woher bekomme ich cygwin? Cygwin ist im Internet auf http://www.cygwin.com/ zu finden. Dort lädt man sich die setup.exe in ein beliebiges

Mehr

Druck von bzw. Scan auf USB-Stick

Druck von bzw. Scan auf USB-Stick Druck von bzw. Scan auf USB-Stick Die Kopierer vom Typ C353 und C552 (das sind die mit dem Farbdisplay) können mit einem USB- Stick benutzt werden. Sie können auf Ihren USB-Stick scannen oder PDF-Dateien

Mehr

Aufrufen des Konfigurators über eine ISDN- Verbindung zur T-Eumex 628. Eine neue ISDN-Verbindung unter Windows XP einrichten

Aufrufen des Konfigurators über eine ISDN- Verbindung zur T-Eumex 628. Eine neue ISDN-Verbindung unter Windows XP einrichten Aufrufen des Konfigurators über eine ISDN- Verbindung zur T-Eumex 628 Alternativ zur Verbindung über USB können Sie den Konfigurator der T -Eumex 628 auch über eine ISDN-Verbindung aufrufen. Sie benötigen

Mehr

In 12 Schritten zum mobilen PC mit Paragon Drive Copy 11 und Microsoft Windows Virtual PC

In 12 Schritten zum mobilen PC mit Paragon Drive Copy 11 und Microsoft Windows Virtual PC PARAGON Technologie GmbH, Systemprogrammierung Heinrich-von-Stephan-Str. 5c 79100 Freiburg, Germany Tel. +49 (0) 761 59018201 Fax +49 (0) 761 59018130 Internet www.paragon-software.com Email sales@paragon-software.com

Mehr

Anleitung zur Webservice Entwicklung unter Eclipse

Anleitung zur Webservice Entwicklung unter Eclipse Entwicklungsumgebung installieren Sofern Sie nicht an einem Praktikumsrechner arbeiten, müssen Sie ihre Eclipse-Umgebung Webservice-fähig machen. Dazu benötigen Sie die Entwicklungsumgebung Eclipse for

Mehr

Anleitung zum Download und zur Bedienung des Tarifbrowsers für Mac OSX und Safari / Mozilla Firefox

Anleitung zum Download und zur Bedienung des Tarifbrowsers für Mac OSX und Safari / Mozilla Firefox Anleitung zum Download und zur Bedienung des Tarifbrowsers für Mac OSX und Safari / Mozilla Firefox Inhalt 1 Kurz und knapp... 1 2 Allgemeine Erklärungen zur Funktionsweise des Browsers... 2 3 Download...

Mehr

Stepperfocuser 2.0 mit Bootloader

Stepperfocuser 2.0 mit Bootloader Stepperfocuser 2.0 mit Bootloader Info Für den Stepperfocuser 2.0 gibt es einen Bootloader. Dieser ermöglicht es, die Firmware zu aktualisieren ohne dass man ein spezielles Programmiergerät benötigt. Die

Mehr

Kostenstellen verwalten. Tipps & Tricks

Kostenstellen verwalten. Tipps & Tricks Tipps & Tricks INHALT SEITE 1.1 Kostenstellen erstellen 3 13 1.3 Zugriffsberechtigungen überprüfen 30 2 1.1 Kostenstellen erstellen Mein Profil 3 1.1 Kostenstellen erstellen Kostenstelle(n) verwalten 4

Mehr

Installation und Sicherung von AdmiCash mit airbackup

Installation und Sicherung von AdmiCash mit airbackup Installation und Sicherung von AdmiCash mit airbackup airbackup airbackup ist eine komfortable, externe Datensicherungslösung, welche verschiedene Funktionen zur Sicherung, sowie im Falle eines Datenverlustes,

Mehr

Der einfache Weg zum CFX-Demokonto

Der einfache Weg zum CFX-Demokonto Der einfache Weg zum CFX-Demokonto Diese Anleitung hilft Ihnen bei der Eröffnung eines Demokontos beim CFX Broker. Jeder Schritt bis zur vollständigen Eröffnung wird Ihnen im Folgenden erklärt. Zur besseren

Mehr

Leitfaden zur Installation von Bitbyters.WinShutdown

Leitfaden zur Installation von Bitbyters.WinShutdown Leitfaden zur Installation von Bitbyters.WinShutdown für Windows 32 Bit 98/NT/2000/XP/2003/2008 Der BitByters.WinShutDown ist ein Tool mit dem Sie Programme beim Herunterfahren Ihres Systems ausführen

Mehr

Wireless LAN PCMCIA Adapter Installationsanleitung

Wireless LAN PCMCIA Adapter Installationsanleitung Wireless LAN PCMCIA Adapter Installationsanleitung Diese Anleitung hilft Ihnen bei der Installation des Funknetzwerkadapters für Ihre PCMCIA Schnittstelle und erläutert in wenigen Schritten, wie Sie den

Mehr

LEHRSTUHL FÜR DATENBANKEN

LEHRSTUHL FÜR DATENBANKEN LEHRSTUHL FÜR DATENBANKEN Informatik II für Verkehrsingenieure Java & Eclipse Installationsguide Prof. Dr.-Ing. Wolfgang Lehner > Was ist Eclipse? Eine sogenannte Integrierte Entwicklungsumgebung (engl.

Mehr

Handbuch ECDL 2003 Basic Modul 2: Computermanagement und Dateiverwaltung Dateien löschen und wiederherstellen

Handbuch ECDL 2003 Basic Modul 2: Computermanagement und Dateiverwaltung Dateien löschen und wiederherstellen Handbuch ECDL 2003 Basic Modul 2: Computermanagement und Dateiverwaltung Dateien löschen und wiederherstellen Dateiname: ecdl2_05_03_documentation.doc Speicherdatum: 16.03.2005 ECDL 2003 Modul 2 Computermanagement

Mehr

Second Steps in eport 2.0 So ordern Sie Credits und Berichte

Second Steps in eport 2.0 So ordern Sie Credits und Berichte Second Steps in eport 2.0 So ordern Sie Credits und Berichte Schritt 1: Credits kaufen, um Zugangscodes generieren zu können Wählen Sie Credits verwalten und klicken Sie auf Credits kaufen. Geben Sie nun

Mehr

YouTube: Video-Untertitel übersetzen

YouTube: Video-Untertitel übersetzen Der Easytrans24.com-Ratgeber YouTube: Video-Untertitel übersetzen Wie Sie mit Hilfe von Easytrans24.com in wenigen Schritten Untertitel für Ihre YouTube- Videos in mehrere Sprachen übersetzen lassen können.

Mehr

26. November 2007. EFS Übung. Ziele. Zwei Administrator Benutzer erstellen (adm_bill, adm_peter) 2. Mit adm_bill eine Text Datei verschlüsseln

26. November 2007. EFS Übung. Ziele. Zwei Administrator Benutzer erstellen (adm_bill, adm_peter) 2. Mit adm_bill eine Text Datei verschlüsseln EFS Übung Ziele Zwei Administrator Benutzer erstellen (adm_bill, adm_peter) Mit adm_bill eine Text Datei verschlüsseln Mit adm_peter einen Ordner verschlüsseln und darin eine Text Datei anlegen Dem Benutzer

Mehr

Kurzanleitung zu. von Daniel Jettka 18.11.2008

Kurzanleitung zu. von Daniel Jettka 18.11.2008 Kurzanleitung zu Tigris.org Open Source Software Engineering Tools von Daniel Jettka 18.11.2008 Inhaltsverzeichnis 1.Einführung...1 2.Das Projektarchivs...3 2.1.Anlegen des Projektarchivs...3 2.2.Organisation

Mehr

Anleitung zum erstellen einer PDF-Datei aus Microsoft Word

Anleitung zum erstellen einer PDF-Datei aus Microsoft Word Anleitung zum erstellen einer PDF-Datei aus Microsoft Word 1. Vorbereitung des PCs Um dem Tutorial folgen zu können müssen folgende Programme auf Ihrem PC installiert sein: Ghostskript 8.64: Ghostskript

Mehr

Microsoft Access 2013 Navigationsformular (Musterlösung)

Microsoft Access 2013 Navigationsformular (Musterlösung) Hochschulrechenzentrum Justus-Liebig-Universität Gießen Microsoft Access 2013 Navigationsformular (Musterlösung) Musterlösung zum Navigationsformular (Access 2013) Seite 1 von 5 Inhaltsverzeichnis Vorbemerkung...

Mehr

Einen Wiederherstellungspunktes erstellen & Rechner mit Hilfe eines Wiederherstellungspunktes zu einem früheren Zeitpunkt wieder herstellen

Einen Wiederherstellungspunktes erstellen & Rechner mit Hilfe eines Wiederherstellungspunktes zu einem früheren Zeitpunkt wieder herstellen Einen Wiederherstellungspunktes erstellen & Rechner mit Hilfe eines Wiederherstellungspunktes zu einem früheren Zeitpunkt wieder herstellen 1 Hier einige Links zu Dokumentationen im WEB Windows XP: http://www.verbraucher-sicher-online.de/node/18

Mehr