Kontrollfragen zur Fertigungstechnik

Größe: px
Ab Seite anzeigen:

Download "Kontrollfragen zur Fertigungstechnik"

Transkript

1 FHTW Fachhochschule für Technik und Wirtschaft Berlin Fernstudium Technische Informatik, 5. Semester Nachrichtentechnik, 7. Semester Kontrollfragen zur Fertigungstechnik (Beschränkung auf die für die Klausur relevanten Kontrollfragen) Verfasser: Stephan Schreiber (mail to: Berlin, im Februar 2000 Druckdatum:

2 Inhaltsverzeichnis 1 Leiterplattentechnik... 3 Grundlagen... 3 Verfahrenstechnische Grundlagen... 6 LP-Herstellungsverfahren Integrierte Schichttechnik, Hybridtechnik Grundlagen Dünnschichttechnik Dickschichttechnik Hybridtechnik Halbleitertechnologie (Halbleiterblocktechnik) Grundlagen Substratherstellung Scheibenprozeß Basistechnologien integrierter Schaltkreise Literaturverzeichnis... 82

3 Fertigungstechnik Leiterplattentechnik Seite 3 1 Leiterplattentechnik Grundlagen 1.1 Welche Funktionen erfüllen Leiterplatten (LP) und flexible gedruckte Verdrahtungen (FGV) in elektronischen Geräten und Anlagen? starre Leiterplatten erfüllen folgende Aufgaben in elektrischen Geräten u. Anlagen: - mechanische Befestigung von Bauelementen, - Herstellen von elektrischen Verbindungen der Bauelemente durch mit reproduzierbaren Techniken auf einen Isolierstoffträger aufgebrachten Leiterbahnen, - häufig auch Wärmeableitung (entweder durch große Leiterflächen oder durch den Metallkern von Metallkernleiterplatten) die Aufgaben von flexiblen Leiterplatten (flexible gedruckte Verdrahtungen FGV): - sehr zuverlässige elektrische Verbindungen (als Ersatz für gewöhnliche Steckverbinder). Es sind auch starr-flexible Leiterplatten möglich, die feste und flexible Abschnitte haben 1.2 Erläutern Sie die Nomenklatur (deutsch und englisch) für Leiterplatten. Welche LP-Arten kennen Sie? Nomenklatur Bezeichnung engl. Bez. Querschnitt [1], [15] EEL (NDKL) Einebenenleiterplatte 1 (eine Seite mit Layout) Monolayer (ELL) (Einlagenleiterplatte) ZEL / NDKL (ELL) nichtdurchkontaktierte Zweiebenenleiterplatte 2 (beidseitig mit Layout) (Einlagenleiterplatte) Bilayer DKL (ELL) MLL durchkontaktierte Leiterplatte (Einlagenleiterplatte) Mehrlagenleiterplatte (eine oder mehrere innere Lagen) Bilayer with plated through holes Multilayer Board MSL Mehrschichtleiterplatte (Leiterplatte, die mehrere Leiterschichten übereinander hat, die durch Isolationsschichten getrennt werden. Elektrische Verbindungen zwischen Leiterschichten werden durch Anmetallisierung durch offene Stellen von Isolationsschichten hergestellt, d. h. ohne Bohrung) Multilayer Board 1 Bei der EEL sind als Sonderfall tiefliegende Leiterbahnen möglich. 2 Auch bei der ZEL sind als Sonderform tiefliegende Leiterbahnen möglich

4 Seite 4 Fertigungstechnik Leiterplattentechnik Nomenklatur Bezeichnung engl. Bez. Querschnitt [1], [15] MDL Mehrdrahtleiterplatte (Auf vorbereiteter Oberfläche werden isolierte Drähte, die sich auch kreuzen dürfen, verlegt. Danach wird eine Prepreg-Schicht aufgepreßt und ausgehärtet. Löcher werden gebohrt und an die angebohrten Drahtenden u. die Lochwandung Kupfer abgeschieden.) Multiwire Circuit 1.3 Nach welchen prinzipiell unterschiedlichen Verfahrensvarianten können Einlagen-, Mehrlagen- und Mehrschicht-LP hergestellt werden? Subtraktivtechnik: - Ausgangsmaterial ist kupferkaschiertes Basismaterial, - Aufbringen einer Ätzmaske als positives Leiterbild, - Entfernung der nicht erwünschten Kupferflächen durch Ätzen Additivtechnik: volladditiv Ausgangsmaterial ist unkaschiertes Basismaterial, Aufbringen einer Plattiermaske als negatives Leiterbild, Aufbau des Leitermetalles durch chemische Verfahren semiadditiv Ausgangsmaterial ist unkaschiertes Basismaterial, Aufbau einer dünnen ganzflächigen Grundkupferschicht durch chemische Verfahren, Aufbringen einer Plattiermaske als negatives Leiterbild, chemisches oder galvanisches Verstärken der freiliegenden Grundkupferschicht, Abätzen der Grundkupferschicht durch Differenzätzen 1.4 Wie sind Basismaterialien für starre und flexible LP aufgebaut und wie werden sie hergestellt? Geben Sie die gebräuchlichen Namen, Aufbau und Einsatzgebiete der wichtigsten Basismaterialien an (je 2 Beispiele). Basismaterialien für starre Leiterplatten bestehen aus mehreren Schichten eines Trägermaterials, die durch ein Kunstharz verklebt sind. Dabei sind folgende Kombinationen von Harz und Trägermaterialien am gebräuchlichsten: Harz Trägermaterial DIN-Norm Nema-Norm Phenol Papier PF-CP 02 FR2 Epoxid Papier EP-CP 01 FR3 Epoxid Glasgewebe EP-GC 01 EP-GC 02 G10, FR4 Fertigung des Basismaterials: In einem kontinuierlichen Prozeß wird das aufgerollte Trägermaterial (Papier oder Glasgewebe) in einer Imprägnieranlage mit dem in Lösung befindlichen Harz im Durchlaufverfahren getränkt, danach in Durchlauföfen vorgetrocknet und in Bögen geschnitten, die der Größe des Preßformates entsprechen. Diese Bögen heißen auch Prepreg. Durch Übereinanderlegen mehrerer Prepregs wird die gewünschte Basismaterialdicke erreicht. Der zusammengelegte Prepregstapel wird mit einer Kupferfolie (ein- oder beidseitig) zwischen polierte Edelstahlbleche gelegt und in heiz- und kühlbaren Etagenpressen verpreßt. Bei unkaschiertem Basismaterial erfolgt dieser Vorgang ohne Kupferfolie. Beim Pressen unter Druck und Hitze fließt für eine definierte Zeit das zwischen und auf den Trägermaterialbahnen befindliche Kunstharz und

5 Fertigungstechnik Leiterplattentechnik Seite 5 verklebt die Prepregs untereinander zu einer Tafel. Durch den Einfluß erhöhter Temperatur setzt eine chemische Reaktion der Harze ein, so daß nach einer bestimmten Zeit ein Duroplast entsteht. Nach dem Erkalten wird die Tafel noch auf ein Standardformat besäumt. Beispiele für Einsatzgebiete: - Das Phenolharzpapier ist das kostengünstigste Basismaterial und wird vor allem in der Unterhaltungselektronik eingesetzt. Es kann relativ gut gestanzt und mechanisch bearbeitet werden. - Demgegenüber hat das Epoxidharzpapier bessere elektrische, thermische und mechanische Eigenschaften. Es ist kalt stanzbar und zeichnet sich durch eine hohe Flammwidrigkeit aus. - Epoxidglashartgewebe besitzt die besten mechanischen und elektrischen Eigenschaften. Es wird für Schaltungen, in denen hohe Frequenzen und kurze Signalflanken vorkommen und die (teilweise) definierte Impedanzen (und damit engtolerierte Dielektrizitätszahlen des Basismaterials) erfordern, eingesetzt, beispielsweise in der Computertechnik. Basismaterial für flexible Leiterplatten: Für flexible Leiterplatten zeigt Teflonfolie hohe Temperaturbeständigkeit, gutes Verhalten bei Wechselbiegebeanspruchung und hohe Isolationswiderstände. Eine ebenso hohe Temperaturbeständigkeit hat die Polyimidfolie, dagegen aber schlechtere dielektrische Werte. 1.5 Wie wird die Kupferfolie zur Herstellung von kupferkaschiertem BM gefertigt? Welche Haupteigenschaften (elektrisch, mechanisch, technologisch) sind dabei zu realisieren und welche Probleme treten dabei auf? Für starre Basismaterialien wird fast ausschließlich elektrolytisch hergestellte Kupferfolie (sogenannter ED-Kupfer) verwendet. Dagegen wird für flexible Basismaterialien vorzugsweise Walzkupfer (sogenannter RA-Kupfer) eingesetzt. ED-Kupfer wird kontinuierlich auf einer als Katode geschalteten, großen Stahltrommel elektrolytisch abgeschieden und dann als Folie abgezogen. (Dabei werden dünne Kupferfolien unter 12 µm auf einer Trägerfolie abgeschieden und auch auf dieser geliefert, damit bei der späteren Verarbeitung keine Risse entstehen.) ED-Kupferfolien haben eine glänzende (die der Stahltrommel zugewandt war) und eine matte Seite. RA-Kupfer wird durch Walzen heißer Kupferbarren hergestellt. An die Folie und die Verbindungen zum Basismaterial werden folgende Anforderungen gestellt: Forderung Technologische Maßnahme gute Haftung der Kupferfolie auf dem Laminat Vermeidung von Leiterbahnrissen durch begrenzter Dehnbarkeit des Kupfers geringe Unterätzung bei der späteren Leiterplattenherstellung für Feinstleitertechnik und Leiterbahnbreiten und -abständen von 100 µm und darunter kontrollierte, engtolerierte Impedanzen von Leiterbahnen der fertigen Leiterplatte Auf die matte Seite des ED-Kupfers wird vom Hersteller ein sogenanntes Treatment 3 aufgebracht, eine Schicht, die durch Oberflächenvergrößerung eine Haftung auf dem Laminat erreicht. Das verwendete Laminat (Epoxid- oder Phenolharz) bestimmt ebenfalls wesentlich die erzielbare Haftung. Verwendung einer HTE-Folie (Hochtemperatur-Elongationsfolie), die bei 180 C hergestellt wird und bessere Dehnungswerte als gebräuchliches ED-Kupfer besitzt. Die Haftfestigkeitswerte sind heute dieselben wie bei Standardfolie. Einsatz von sehr dünnen Kupferfolien. Die bereits erwähnte Trägerfolie, auf denen solche dünnen Kupferfolien geliefert werden, kann nach dem Auflaminieren zum Bohren auf der Leiterplatte verbleiben und erst später abgezogen werden: Gratbildung und Oberflächenfehler beim Bohren werden vermieden, und die dünne Kupferfolie kann ohne Reinigung weiterverarbeitet werden. Einsatz sehr dünner Kupferfolien (siehen oben), aber auch von sogenannten Low-Profile-Kupferfolien. Bei Low-Profile-Folien (gemäß IPC-CF 150 F) hat die Treatment-Schicht ein niedriges und gleichmäßiges Profil, wodurch der dielektrische Abstand zu 3 Entweder Zink, das beim Pressen zu Messing legiert, oder Messing.

6 Seite 6 Fertigungstechnik Leiterplattentechnik Forderung hohe Dauerbiegefestigkeit der Kupferschicht bei flexiblen Leiterplatten Technologische Maßnahme anderen Kupferlagen definierter wird. (Low-Profile-Folien können immer durch die o. g. HTE-Folien ersetzt werden, da die Kupferspezifikation IPC-CF 150 F für HTE-Folien Low-Profile- Eigenschaften definiert.) Einsatz von RA-Kupfer (Walzkupfer) anstelle des ED-Kupfers. Walzkupfer weist eine geringere Zugfestigkeit auf als Elektrolytkupfer, besitzt jedoch bessere Dehnungseigenschaften, ist weicher und besitzt eine höhere Duktilität (Streckbarkeit). 1.6 Erläutern Sie zur Erstellung der Fertigungsunterlagen, a) was das technologische Aufbereiten (Editieren) eines DKL-Entwurfs u. a. beinhalten kann (3 Beispiele). b) was man unter HPGL-, Gerber- und Excellon-Datenformaten versteht und wozu sie dienen. zu Aufgabe a): Klasse A: Mindest-Dokumentation (normalerweise nur für Prüfmuster) Kopie des Layouts als Datensatz und auf Papier Werkstoffangaben, Abmessungen, Lagenaufbau, Informationen zur mechanischen Bearbeitung und zur Oberflächenbehandlung Klasse B: Teildokumentation (ausreichend für Kleinserien) ist für Zusammenarbeit mit einem Leiterplattenhersteller mit CAD/CAM vorgesehen sie ist im Vergleich zu Klasse A so beschaffen, daß der Leiterplattenhersteller Daten für einen elektrischen Test extrahieren kann enthält zusätzlich zur Dokumentation Klasse A noch die Toleranzen für alle Abmessungen Klasse C: Voll-Dokumentation (komplettes Beschaffungspaket, mit dem jeder beliebige Lieferant ein identisches Produkt fertigen kann), die selbsterklärenden Fertigungsinformationen bestehen mindestens aus: ausführliche Fertigungszeichnung, Druckoriginale als Zeichnung und Datei, sowie eine Beschreibung des elektronischen Designs Fertigungszeichnung für die unbestückte Leiterplatte in allen Einzelheiten (Paßmarken und - bohrungen, normgerecht definiert und plaziert) inklusive der Fertigungstoleranzen: Œ Bemaßung der Leiterplatte, Œ Bohrbild mit Lage zur Kontur bzw. Zuordnung zum Referenzsystem, Darstellung der Leiterbilder, Lagenaufbau (nur bei Multilayer), Darstellung der Lötstopp- und Positionsdrucke, Darstellung für Sonderbearbeitungen und Nutzenaufbau. zu Aufgabe b): HPGL- und Gerber-Dateien beinhalten eine Vektorgrafik zur Beschreibung des Leiterplattenlayoutes und des Bestückungsdruckes. Die Excellon-Datei beschreibt die Bohrdaten (ebenfalls vektororientiert) und wird für das Bohren der Leiterplatten auf CNC-Bohrmaschinen verwendet. Verfahrenstechnische Grundlagen 1.7 Nach welchen Kriterien wird bei mechanischen Arbeitsgängen in der Leiterplattenfertigung über den Einsatz spanender oder spanloser Verfahren entschieden? Warum müssen Durchkontaktierungslöcher in starren Basismaterialien gebohrt werden und welche Probleme sind dabei zu beachten? Kriterien, die für ein spanendes Verfahren sprechen (für Bohren und Sägen) niedrige Stückzahlen, DKL, hohe Oberflachengüte an den Kanten und Kriterien, die für ein spanloses Verfahren sprechen (für Schneiden und Stanzen) hohe Stückzahlen NDKL (Massenware)

7 Fertigungstechnik Leiterplattentechnik Seite 7 Kriterien, die für ein spanendes Verfahren sprechen (für Bohren und Sägen) Löchern, Löcher, deren Durchmesser unter 2 3 der Materialdicke liegt, Epoxid/Glasgewebe-Basismaterialien (werden heute typischerweise nicht gestanzt) Kriterien, die für ein spanloses Verfahren sprechen (für Schneiden und Stanzen) Durchkontaktierungslöcher in starren Basismaterialien müssen gebohrt werden, weil gestanzte Löcher an ihren Lochwandungen für die Durchverkupferung zu rauh sind. Probleme kann auch beim Bohren verschmiertes Harz an den Lochwandungen bereiten. 1.8 Erläutern Sie zwei Alternativen zum mechanischen Bohren und Beispiele für ihren Einsatz. Alternativverfahren zum mechanischen Bohren sind - das Ultraschallbohren und - das Laserbohren. Beim Ultraschallbohren vollführt ein geformtes Werkzeug mit ca. 22 khz oszillierende Bewegungen und trägt durch Zufuhr von Energie Material ab. Ein Anwendungsbeispiel ist das Bohren von in der Dickschichttechnik eingesetzten Al 2 O 3 -Substrat-Materialien, wobei Bohrungen mit einem minimalen Durchmesser von 300 µm erzeugt werden können. Beim Laserbohren erhitzt ein gesteuerter Laserstrahl räumlich eng begrenzt das zu bohrende Material, wodurch es thermisch zersetzt wird. Hierbei sind auch Schneidevorgänge (bei bewegtem Laser) möglich. Ein Anwendungsbeispiel für das Laserbohren ist in der Leiterplattenherstellung die Herstellung von Löchern mit sehr kleinen Durchmessern (für Durchkontaktierungen). 1.9 Erläutern Sie die Funktionen organischer Haftmasken in der Leiterplattenfertigung. Mit welchen Verfahren können solche Masken erzeugt werden und unter welchen Umständen kommen sie zum Einsatz? organische Haftmasken in der Leiterplattenfertigung: - Ätzmasken (schützen die bedeckten Flächen vor dem Abätzen), - Plattiermasken (schützen die bedeckte Fläche vor dem glavanischen oder chemischen Beschichten), - Lötstoppmasken (schützen die bedeckten Flächen vor dem Beschichten oder Benetzen mit Lot; Lötstoppmasken werden im allgemeinen auf der fertigen Leiterplatte als Schutz belassen) Haftmasken können hergestellt werden durch Fotolithographie (bei Kleinserien oder bei hohen Anforderungen an Strukturgrößen): Flüssig- oder Naßresiste (Fotolack) Fest- oder Trockenresist (Fotofolien) Siebdruck (Massenfertigung mit nicht zu hohen Anforderungen an minimale Strukturgrößen) 1.10 Erläutern Sie das allgemeine fotochemische Wirkprinzip der Fotolithografie und die spezielle Funktionsweise von Negativ- und Positivresisten. Stellen Sie einen technologischen Vergleich beider Resistarten an. Grundprinzip der Fotolithographie ist das Verändern der Löslichkeit des Resists durch Einwirkung von UV-Licht (Belichten), und anschließendem Herauslösen der gut löslichen Bezirke (Entwickeln) durch ein Lösungsmittel (Entwickler). Positivresist Negativresist UV-Licht bewirkt Wachsen der Löslichkeit UV-Licht bewirkt Verringerung der Löslichkeit /LFKWEHZLUNW$XIVSDOWHQYRQ %LQGXQJHQ innerhalb der hydrophoben Diazo-Gruppe. Als Folgereaktionen (Anspaltung N 2, Ringumlagerung und Wasseranlagerung) geht eine organische Säure (mit Hydroxyl-Gruppe) /LFKWEHZLUNW$XIVSDOWHQYRQ %LQGXQJHQZDV HLQH3RO\PHULVDWLRQGXUFK%LOGXQJYRQ Bindungen zwischen Molekülen zur Folge hat

8 Seite 8 Fertigungstechnik Leiterplattentechnik Positivresist hervor, die hydrophil (d. h. gut in Wasser löslich) ist. belichtete Resistbezirke werden mit wässriger, schwach alkalischer Lösung neutralisiert (entwickelt) Negativresist nichtbelichtete Resistbezirke werden mit organischen Lösungsmitteln abgelöst (entwickelt) 1.11 Welche Filmkopie des Leiterbildoriginals wird benötigt, um mit Hilfe eines Negativresists eine Ätzmaske und mit Hilfe eines Positivresists eine Plattiermaske zu erzeugen? Dazu Toleranzbetrachtung für Schrägbelichtung. In beiden genannten Situationen muß die Filmkopie negativ sein (d. h. an absorbierenden Flächen wird später geätzt bzw. an den transparenten Flächen der Arbeitskopie beschichtet). Durch Unterstrahlung bei der Schattenbelichtung werden bei einer Ätzmaske, einer Plattiermaske, die mit einem Negativresist einem Positivresist hergestellt wurde, die durch die strukturierte Maske abgedeckten Oberflächenbezirke länger und breiter kürzer und schmaler als auf der Filmkopie Wie kann man bei der fotolithografischen Leiterbildübertragung auch bei Verwendung einfacher Belichtungsmaschinen mit nichtparalleler Strahlung (Punkt- oder Flächenquelle) eine möglichst gute Übereinstimmung von Belichtungsmaske und erzeugter Resiststruktur erreichen? Die strukturierte Schicht der Filmkopie (die Emulsion) muß auf derjenigen Filmseite sein, die auf dem zu belichtenden Resist aufliegt, um die Unterstrahlung zu minimieren Erläutern und begründen Sie die Anwendung von Fotolacken, Festresisten und Siebdruckpasten zur fotolithografischen bzw. siebdrucktechnischen Oberflächenmaskierung in der Leiterplattenfertigung. In der Leiterplattenfertigung gibt es grundsätzlich zwei verschiedene Verfahren, um Oberflächenmaskierungen herzustellen. Oberflächenmaskierungen werden entweder nur für den Zeitraum von bestimmten Verfahrensschritten, die nur auf bestimmte Oberflächenbezirke der Leiterplatte wirken sollen, belassen und dann entfernt oder aber verbleiben dauerhaft als Oberflächenschutz (der Kupferbahnen) auf der Leiterplatte. Mittels Fotolithographie oder Siebdruck können wirtschaftlich Strukturen (Leiterbahnen) auf der Leiterplatte in großen Stückzahlen gefertigt werden Erläutern Sie das chemische Wirkprinzip der Ätzung von Metallen. Formulieren Sie die Ätzgleichungen für bekannte Leiterplattenätzmittel. Chemisches Wirkprinzip der Ätzung von Metallen ist die Oxidation des betreffenden Metalles (d. h. die Abspaltung von Elektronen aus dem Metall). Für das Ätzen von Kupfer ist die allgemeine Ätzgleichung beispielsweise 2 + Cu + 2eC, u wobei die Elektronen durch ein Oxidationsmittel aufgenommen werden: Oxidationsmittel 2 e + R. - Reaktionsgleichung für das Ätzen mit Eisen-(III)chlorid (es wird im großen Maßstab nicht eingesetzt): Cu + 2FeCl + FeCl Ionengleichung: Cu + 3 CuCl Fe Cu + 2Fe 2

9 Fertigungstechnik Leiterplattentechnik Seite 9 - Reaktionsgleichung für das Ätzen mit saurer Kupfer-(II)chlorid-Lösung (womit großtechnisch fast alle Leiterplatten mit nichtmetallischem Ätzresist geätzt werden): Cu + CuCl 2CuCl 2 Ionengleichung: Cu Cu Cu Das gebildete Kupfer-(I)chlorid kann durch Zugabe von Wasserstoffperoxid in Gegenwart von Salzsäure zu Kupfer-(II)chlorid regeneriert werden: 2 CuCl + H 2O2 + 2HCl 2CuCl2 + 2H 2O - Reaktionsgleichung für alkalische (ammoniakalische) Kupfer-(II)chlorid-Lösung (womit großtechnisch fast alle Leiterplatten mit metallischem Ätzresist geätzt werden): während der Reaktion wird zunächst aus dem in der Ätzlösung enthaltenen (bei dem Ansetzen der Lösung entstandenen) Tetramin-Komplex ein Kupfer-(I)-Diaminkomplex gebildet, der in Gegenwart eines geeigneten Oxidationsmittels wieder zu Kupfer-(II)-Tetramin oxidiert wird: 2+ + Cu( NH 3 ) 4 + Cu 2Cu( NH 3) Cu( NH 3) 2 + 4NH 4 O2 ++ 2OH 2Cu( NH 3) 4 + 3H 2O oder zusammengefaßt in einer Reaktiongleichung: 2 Cu O2 + 4 NH 4 + 2OH Cu( NH 3 3) 4 + H 2O 2 Bei diesem Ätzmittel ist auf eine gute Absaugung der ammoniakhaltigen Abluft zu achten. Das Regenerieren erfolgt durch Zugabe von Luftsauerstoff (Konstanthaltung der Ätzmittelkonzentration, der Kupfergehalt kann dadurch nicht verringert werden): Cu( NH 3) 2 + O2 + 4NH 4 + 2OH 2Cu( NH 3) 4 + 3H 2O 2 Zur Verringerung des Kupfergehaltes der Ätzlösung werden verschiedene Verfahren angeboten, die teilweise eine Rückführung des aufgearbeiteten Ätzmittels in die Ätzanlagen und eine Kupferrückgewinnung ermöglichen [3]: - Beim einfachen Replenisher-Verfahren wird mittels Dichtemessungen der Kupfergehalt des Ätzmittels kontrolliert und bei Erreichen eines oberen Grenzwertes erfolgt ein teilweises Abpumpen der verbrauchten Ätzlösung aus der Anlage, während gleichzeitig ein gleich großes Volumen an kupferfreier Ergänzungslösung (Replenisher) zugefügt wird. Die verbrauchte Ätzlösng muß bei diesem Verfahren gesondert entsorgt werden 4. - Kupferrückgewinnung auf der Basis der Flüssig-Flüssig-Extraktion. Dieses Verfahren läuft in folgenden Schritten ab [16]: Extraktion der Kupfer-Ionen mit dem flüssigen Ionenaustauscher Phenylalkyl- GLNHWRQ5+ Cu ( NH 3) 4 + 2RH + 4H 2O R2Cu + NH 4 + HO H Rückführung des Kupfers in einer Schwefelsäure-Lösung + R Cu H Cu RH 2 + und schließlich eine elektrolytische Kupferabscheidung (an der Katode), wobei eine unlösliche Anode verwendet wird. - Reaktionsgleichung für das Ätzen mit Natriumpersulfat (großtechnisch hat das Ätzen mit Natriumpersulfat keine große Bedeutung): Cu + Na + Ionengleichung: Cu + S 2S2O8 Na2SO4 CuSO O8 Cu + 2SO4 Abgeätztes Kupfer kann durch Ausfällen oder Elektrolyse sehr leicht aus der Lösung geholt werden. - Reaktionsgleichung für das Ätzen mit Wasserstoffperoxid und Schwefelsäure (zuzüglich eines geeigneten Stabilisators, der eine Zersetzung des Wasserstoffperoxids verhindert; dieses Verfahren gewinnt in jüngster Zeit immer mehr an Bedeutung, da das abgeätzte Kupfer ähnlich wie bei Natriumpersulfat leicht aus der Lösung zurückgewonnen werden kann): Cu + H 2 O2 CuO + H 2O und die unmittelbar darauf ablaufende Folgereaktion 4 Bei einem speziellem Recycling-Betrieb.

10 Seite 10 Fertigungstechnik Leiterplattentechnik CuO + H 2 SO4 CuSO4 + H 2O, oder zusammengefaßt in einer Reaktionsgleichung Cu + H 2O2 + H 2SO4 CuSO4 + 2H 2O 1.15 Welche Forderungen sind aus technologischer, ökonomischer und ökologischer Sicht an ein Leiterplattenätzmittel zu stellen? Warum dominieren chloridhaltige und ammoniakalische CuCl 2 -Lösungen in der Praxis? Forderungen an ein Leiterplattenätzmittel sind: - möglichst unkritische Prozeßführung, - Regenerierbarkeit im Ätzbad oder außerhalb des Ätzbades (Rückgewinnung des abgeätzten Kupfers und des Ätzmittels), um die Mengen an (kostenintensiv zu entsorgenden) Abfallstoffen gering zu halten, - möglichst geringer Preis der einzusetzenden Chemikalien In der Praxis dominieren Kupfer-(II)chlorid-haltige Lösungen, da sie eine Regenerierung des Ätzmittels und die Rückgewinnung des abgeätzten Kupfers erlauben. Bei sauren Ätzlösungen geschieht dies mit Wasserstoffperoxid und Salzsäure, bei alkalischen Ätzlösungen durch Flüssig-Flüssig-Extraktion von Kupfer (siehe Aufgabe 1.14). Für saure und alkalische Kupfer-(II)chlorid-Ätzmittel können die gleichen Ätzmaschinentypen eingesetzt werden. Bei den meisten Leiterplattenproduzenten sind beide Ätzbadtypen vorhanden: das alkalische Bad für die Metallresist-Technik (insbesondere für DKL), das saure Bad für nicht-metallischen Ätzresist (insbesondere für die Herstellung der Innenlagen für Multilayer, eventuell auch für Tenting-Technik) Begründen Sie die meist starke Konvektionsabhängigkeit der Ätzgeschwindigkeit. Warum gibt es Ausnahmen von dieser Regel? Die Reaktionsgeschwindigkeit von chemischen Reaktionen ist von der Temperatur, von der Konzentration der Ausgangsstoffe und der Reaktionsprodukte abhängig. Die Ätzgeschwindigkeit hängt vom Ätzmedium, dessen Temperatur, ph-wert, Kupferkonzentration in der Ätzlösung, Sprühdruck (des Ätzmittels innerhalb der Ätzmaschine) ab. Konvektion (Strömung) des Ätzmittels verteilt die unverbrauchten und verbrauchten Bestandteile des Ätzmittels, führt also verbrauchte Reaktionsprodukte von den Orten der Abätzung ab und führt unverbrauchte Ausgangsstoffe zu. Durch Konvektion wird die somit die Ätzgeschwindigkeit deutlich erhöht. Bei anisotropen Ätzlösungen für einkristallines Silizium ist die Ätzgeschwindigkeit darüber hinaus sehr stark von der Ätzrichtung in Bezug auf die Kristallrichtung abhängig Was ist das Hauptqualitätsproblem beim Ätzen und wie kann man es minimieren? Warum wird es durch die Konvektionsabhängigkeit der Ätzgeschwindigkeit verschärft? Das Hauptproblem beim Ätzen ist die auftretende Unterätzung. Das Ätzmittel greift zwar zunächst nur die Kupferoberfläche an, die nicht von der Ätzmaske bedeckt sind, später aber auch die mit zunehmender Abätzung enstehenden Kupferflanken an den Grenzen zu abgedeckten Kupferbezirken. Das führt dazu, daß unter den Resisträndern die Kupferschicht weggeätzt wird und die Resistschicht hohl übersteht. Als Ergebnis der Unterätzung sind also die Leiterbahnen der Leiterplatte schmaler als es die Ätzmaske beim Ätzen war. Bei der Metallresist-Technik, bei der die Ätzmaske (Zinn oder Zinn/Blei) auf der fertigen Leiterplatte verbleibt, können die hohl überstehenden Ränder der Zinn- bzw. Zinn/Blei-Schicht bei der späteren Weiterverarbeitung abbrechen und sich als feiner Zinnflitter auf der Leiterplatte verteilen und möglicherweise zu Kurzschlüssen führen. (Aus diesem Grund wird die Zinnbzw. Zinn/Blei-Schicht im einfachsten Fall durch Heißluft umgeschmolzen 5.) Das Problem der Unterätzung wird durch die konvektionsabhängige Ätzgeschwindigkeit von Ätzmitteln verschärft: An denjenigen Orten, an denen die Unterätzung auftritt (an den Resisträndern) schließt sich nur zu einer Seite hin eine abätzbare Kupferschicht an. An den Resisträndern wird die Ätzlösung also weniger verbraucht als mitten einer abzuätzenden Kupferfläche. Bei sehr kleinen 5 Da dies im Zuge der Laborübung im Leiterplattenlabor der FHTW nicht durchgeführt wird, genügen die dort hergestellten Leiterplatten industriellen Qualitätsanforderungen auf keinem Fall.

11 Fertigungstechnik Leiterplattentechnik Seite 11 Strömungsgeschwindigkeiten, wenn sich der Stofftransport in der Lösung praktisch nur durch Diffusion infolge von Konzentrationsunterschieden stattfindet, ist die Konzentration der (unverbrauchten) Ausgangsstoffe der Ätzlösung an den Resisträndern größer als an Kupferflächen. Die Flanken werden deshalb bei fehlender Strömung mit größerer Ätzgeschwindigkeit als die Kupferoberseiten abgeätzt. Unterätzung läßt sich minimieren durch - ausreichende Bewegung des Ätzmittels, beispielsweise durch Sprühätzmaschinen - einen möglichst kurzen Ätzvorgang (nicht länger als für die Abätzung der Kupferschicht nötig) - die Verwendung möglichst dünner Kupferschichten 1.18 Erklären Sie Wirkprinzip, praktische Durchführung und Anwendungszweck galvanischer und chemisch-reduktiver Metallabscheidungen in der Leiterplattenfertigung. chemische Metallabscheidung: Bei der chemischen Kupferabscheidung sind folgende Arbeitsschritte durchzuführen: - bei der Subtraktivtechnik: Reinigen Anätzen Aktivieren chemisch Verkupfern - bei der Semiadditiv- und Volladditiv-Technik: Kleber aufschließen Aktivieren chemisch Verkupfern Reinigen beseitigt Öl- und Fettverunreinigungen auf der Kupferkaschierung und auf den Bohrlochwandungen, wobei das Reinigungsmittel auch eine Verbesserung der Benetzbarkeit der Oberflächen bewirkt. Durch das Anätzen werden Kupferoxidreste auf der Kupferkaschierung entfernt, wodurch die Haftung des chemisch abgeschiedenen Kupfers erhöht wird. (Zwischen Reinigungs- und Anätzprozeß erfolgen Spülprozesse mit deionisiertem Wasser.) Bevor das Kupfer chemisch auf der Leiterplatte abgeschieden werden kann, muß die Leiterplatte aktiviert werden. Dabei kommen zum Einsatz: - Aktivatoren, bei denen als Schutzkolloid ein organischer Komplexbildner fungiert, - Aktivatoren, die Palladium im feindispergierten Zustand oder in Form einer palladiumhaltigen Komplexverbindung enthalten. Nach dem Eintauchen in die Aktivatorlösung (und nachfolgendem Spülen) werden die Katalysatorkeime durch einen (sauren) Beschleuniger freigelegt, indem der den Kern umgebene Schutzkolloid (meist Zinn-Verbindungen) entfernt wird. Bei Unterbeschleunigung sind die Katalysatorkeime unvollständig oder gar nicht freigelegt und daher unwirksam, bei Überbeschleunigung wurde nicht nur der Schutzkolloid, sondern auch der Katalysatorkern abgelöst. Nach dem Beschleunigen und einer Spülung mit Wasser wird das chemische Verkupfern durchgeführt. Die Pd-Atome des Aktivators fungieren dabei als Katalysator, der die chemische Reaktion des Kupferabscheidens in Gang bringt, die Kupferabscheidung läuft ohne diesen Katalysator nicht ab (da sie ein kinetisch gehemmter Prozeß ist). Da das abgeschiedene Kupfer ebenso wie der Palladium- Katalysator wirkt, läuft die chemische Reaktion weiter, auch wenn sich nach kurzer Zeit eine geschlossene Kupferschicht bildet, die den Pd-Katalysator bedeckt. Diese Reaktion nennt man fremdstromlose Kupferabscheidung. Bei dieser Reaktion werden die zur Reduktion der Kupferionen nötigen Elektronen durch Redoxreaktion geliefert, die durch Zugabe flüssiger Reduktionsmittel möglich werden. Reaktionsgleichung für die chemische Kupferabscheidung (Ionengleichung): 2+ Cu + 4 OH + 2HCHO Cu + 2HCOO H H 2O Das Bad setzt sich typischerweise zusammen aus - Kupfersulfat CuSO4 oder Kupferchlorid CuCl2 als Kupferlieferant, - Formalin (Methanal) HCHO als Reduktionsmittel, - Alkalien (Natrium-, Kaliumhydroxid) zur Abpufferung überschüssiger Hydroxyl-Ionen und Erhaltung des ph-wertes), - AeDTA / Quadrol als Komplexierungsmittel (damit Kupfer in zweiwertiger Form erhalten bleibt). galvanische Metallabscheidung:

12 Seite 12 Fertigungstechnik Leiterplattentechnik Die galvanischen Verfahren zum Abscheiden von Metallen arbeiten nach folgendem Prinzip: Zwei Elektroden tauchen in eine Lösung von Metallsalzen (Elektrolyt). Die Katode ist die Leiterplatte. Zwischen den beiden Elektroden entsteht durch Anlegen einer Gleichspannung ein elektrisches Feld, durch das die Kationen im Elektrolyt zur Katode (die zu beschichtende Leiterplatte) und die Anionen zu Anode wandern. An der Katode läuft folgende Reaktion ab (Ionengleichung, Cu-Ionen können beispielsweise von einer Kupfersulfat-Lösung als Elektrolyt herrühren): Cu 2+ 2e + Cu Das Kupfer scheidet sich als neutrales Atom, als metallisches Kupfer ab. An der Anode, zu der die Sulfat-Ionen (im Falle von Kupfersulfat-Lösung als Elektrolyt), erfolgt eine Oxidation: 2 2SO4 + 2H 2O O2 + H es O Als Anode verwendet man meist das Abscheidungsmaterial selbst, beispielsweise Kupferanoden. Analoge Vorgänge laufen bei der Abscheidung anderer Metalle als Kupfer, beispielsweise von Zinn oder Blei/Zinn, ab. (Siehe auch 1.20 und 1.21.) 1.19 Leiten Sie aus der Faraday-Gleichung eine Formel für die Berechnung der zur galvanischen Abscheidung einer bestimmten Metallschichtdicke notwendigen Expositionszeit ab. Das 1. Faradaysche Gesetz m = Ä I t (mit m Masse des abgeschiedenen Metalls; I Stromstärke durch das Elektrolyt; t Dauer des Stromflusses; Ä elektrochemisches Äquivalent des Elektrolytes) wird mit der Gleichung m = ρ V bzw. mit der durch die Ersetzung V = A d erhaltenen Gleichung m = ρ A d ( ρ Dichte des abgeschiedenen Metalls; V, A, d Volumen, Fläche, Schichtdicke des abgeschiedenen Metalls) gleichgesetzt: ρ A d = Ä I t d A ρ = t Ä I I Mit der Stromdichte J = A Schichtdicke: Das elektrochemische Äquivalent für einige Metalle ist: mg Metall Wertigkeit Ä in C Kupfer 2 0,3294 Kupfer 1 0,6588 Blei 2 1,0736 Zinn 2 0,6150 ergibt sich die Expositionszeit für die Abscheidung einer bestimmten d t ρ = Ä J

13 Fertigungstechnik Leiterplattentechnik Seite Warum sind Metallabscheidungselektrolyte, wie die für Kupfer und Zinn, häufig stark sauer und enthalten geringe Mengen organischer Zusätze? Bei galvanischen Bädern ist der Schichtauftrag ungleichmäßig, er hängt vom Abstand von der Anode und der Stromverteilung ab. (An den Bohrlochwandungen wird das Metall langsamer abgeschieden als auf den planen Leiterplattenseiten.) Man spricht in diesem Zusammenhang von der Streufähigkeit eines Bades. Durch den Einsatz spezieller saurer Kupferbäder gelingt es, in Abhängigkeit von Basismaterialdicke, Bohrlochdurchmesser, Abstand Anode-Katode, mittlerer Stromdichte und Temperatur beinahe genauso viel Kupfer auf den Bohrlochwandungen wie auf den zu galvanisierenden Leiterbahnen abzuscheiden. Dies ist umso schwieriger, je dicker das Basismaterial ist und je kleiner die Bohrlochdurchmesser. (Demgegenüber sind Bäder zum chemischen Verkupfern ideal streufähig; die abgeschiedene Kupferschicht ist überall gleich dick.) Die Streufähigkeit von galvanischen Bädern wird heute üblicherweise durch Schwefelsäure (in Kupfersulfat-Bädern) und geringe Mengen organischer Zusätze, die als Inhibitoren und Stabilisatoren wirken, verbessert. (Die früher vorwiegend genutzten Kupferpyrophosphatbäder sind weitestgehend ersetzt worden.) 1.21 Welche Reinigungs- und Oberflächenvorbehandlungsverfahren werden in der Leiterplattenfertigung eingesetzt? (5 Beispiele mit Ziel und Wirkprinzip außer Spülen mit Wasser) Mechanische Reinigungsverfahren: Alle mechanischen Reinigungsverfahren in der Leiterplattenfertigung sind materialabtragende Verfahren. Neben dem Entfernen von groben Verschmutzungen, dünnen Oxidschichten auf dem Kupfer und Entfernen des Bohrgrates erzielt man gleichzeitig ein Aufrauhen der Kupferoberfläche. Diese Rauhigkeit verbessert die Haftfestigleit von Siebdruckverfahren und Fotolacken. Das Bürsten wird in Durchlaufbürstmaschinen durchgeführt. Die Platten laufen an rotierenden walzenförmigen Bürsten vorbei, die wegen eines gleichmäßigen Materialabtrags und Bürstverschleißes seitlich oszillierend bewegt werden. Um einen schmierenden Bürstenabrieb zu vermeiden, werden die Bürsten mit Wasser besprüht, die Leiterplatten werden abschließend in der Durchlaufbürstmaschine gespült und getrocknet, wobei jede Restfeuchtigkeit entfernt werden muß. Eine Variation des mechanischen Bürstens ist das Aufsprühen von Bims- oder Quarzmehl unter hohem Druck. Um auch starke Oxidschichten zu entfernen, kann zum Bimsmehl auch Zitronensäure zugesetzt werden. Chemische Reinigungsverfahren: Bei chemischen Reinigungsverfahren wird die Oberfläche des Basismaterials und des Laminatkupfers durch Einwirkung von Chemikalien geändert. Auch diese Reinigung hat die Aufgabe, Schmutzteilchen und Ölreste zu entfernen oder die Oberfläche für eine nachfolgende Behandlung vorzubereiten. Die einfachste Reinigungsmethode ist das Entfernen von Schmutz und Ölresten mit organischen Lösungsmitteln. Es eignen sich Trichlorethylen und Perchlorethylen. Von großem Nachteil sind die mit den Lösungsmitteln verbundenen Umweltprobleme, weshalb in der Praxis mehr die wäßrigen Reinigungssysteme eingesetzt werden. Weitere in der Praxis eingesetzte Verfahren sind Beizen, Anätzen, Dekapieren und das elektrolytische Entfetten. Beim Beizen und Anätzen von metallischen Oberflächen werden die auf dem Laminatkupfer fest haftenden Schichten entfernt. Dies sind Sulfide, Oxide, Hydroxide und Karbonate. Beim Reinigungsvorgang werden die Leiterplatten kurzzeitig mit verdünnter Säure oder Lauge zusammengebracht. Mit dem Anätzen ereicht man eine geringe Aufrauhung der Kupferoberfläche. Der Dekapierprozeß ist eine abgewandelte Form des Anätzens, bei dem die eingesetzten Säuren, Laugen oder auch Cyanide nur in geringen Konzentrationen von etwa 1 bis 5% angesetzt sind. Das Dekapieren entfernt dünne wasserunlösliche Schichten, die sich innerhalb oder zwischen verschiedenen Prozessen bilden: Hydroxy- und Ammoniumverbindungen, sowie dünne Oxid- und Sulfidhäute. Durch den kurzzeitigen Dekapierprozeß wird das Kupfer nur sehr wenig angeätzt. Beim elektrolytischen Entfetten werden die zu reinigenden Leiterplatten in chemischen Bädern als Anode oder als Katode geschaltet. Bei hoher Stromdichte wird die Leiterplattenoberfläche durch entstehende Wasserstoff- oder Sauerstoffblasen gereinigt.

14 Seite 14 Fertigungstechnik Leiterplattentechnik Nach jeder chemischen Reinigung durch Säuren und Laugen muß ein Sprühprozeß, der die Leiterplatten von den Chemikalien oder Abbauprodukten befreit, anschließen. LP-Herstellungsverfahren 1.22 Erläutern Sie die Herstellung von NDKL in Subtraktivtechnik. Welche zusätzlichen Prozeßschritte sind nötig, wenn die Leiterplatte als direkter Steckverbinder verwendet werden soll? Herstellung NDKL (nicht durchkontaktierte Leiterplatte, einseitig oder zweiseitig) in Subtraktivtechnik [1]: Zuschneiden des Basismaterials Reinigen Herstellen der Ätzmaske durch Siebdruck oder Fotolithographie Ätzen (im sauren Ätzbad) Entfernen der Ätzmaske eventuell Oberflächenbehandlung: Verzinnen oder Lackieren mit Lötlack Erzeugen einer Lötstoppmaske / Bestückungsdruck Veredelung von Leiterplattenbezirken für direkte Steckverbindungen Herstellen der Bestückungslöcher durch Bohren oder Stanzen Wenn die Leiterplatte als direkter Steckverbinder eingesetzt werden soll, werden bestimmte Randbezirke der Leiterplatte galvanisch veredelt, beispielsweise hartvergoldet (erst Nickelschicht, dann Goldschicht) Erläutern Sie den herkömmlichen Durchkontaktierungsprozeß bei der subtraktiven Herstellung von DKL in Metallresist- und in Tenting-Technik. Welche Hauptveränderung wird durch Alternativvarianten angestrebt? Beim Durchkontaktierprozeß wird (nach Bohren, Reinigung, Spülen) die Leiterplatte zunächst mit einer Katalysatorlösung benetzt (Aktivieren), die einen Palladium-Zinn-Komplex enthält. Die Palladium-Atome werden dann freigelegt (Beschleunigen) und ermöglichen die Abscheidung von Kupferkeimen beim nachfolgenden chemischen Vorverkupfern. Auf diese Weise hat sich auf sämtlichen Flächen auch an den Bohrlochwandungen eine µm dicke Kupferschicht abgeschieden. Im weiteren Verlauf wird diese dünne Kupferschicht zumindest an den später verbleibenden Leiterbahnen und Durchverkupferungen durch galvanisches Verkupfern verstärkt.

15 Fertigungstechnik Leiterplattentechnik Seite 15 Statt des Katalysators in Form des Aktivators / Beschleunigers kann auch spezielles Basismaterial verwendet werden, dessen Harz geringe Mengen Palladium beigemengt wurde (kernkatalysiertes Basismaterial). Alternativvarianten versuchen die aufwendige chemische Vorverkupferung, die relativ viele Prozeßschritte beinhaltet, zu vereinfachen, einschließlich der Umweltproblematik. Die alternativen Verfahren unterscheiden sich in dem Katalysatorsystem: - Konventionelle Palladiumbekeimung mit anschließender spezieller Aktivierung, - Bekeimung mit Graphit (Blackhole Technology), - Belegung mit leitfähigem Polymerfilm Alle aufgeführten Alternativverfahren haben gemeinsam, daß die Durchverkupferung auf rein elektrolytischem (galvanischen) Wege erfolgt Wie wird die Leiterbildstrukturierung (Maskierung + Ätzen) bei der subtraktiven Herstellung von NDKL und von DKL (in Metallresist- und Tenting-Technik) vorgenommen? a) b) Abbildung 1: a) Verfahrensablauf Metallresist-Technik [1], b) Verfahrensablauf Tenting-Technik [1]

16 Seite 16 Fertigungstechnik Leiterplattentechnik Bei der Metallresist-Technik wird nach dem chemischen Vorverkupfern ( µm) eine Plattiermaske aufgebracht (Leiterbild negativ) und an den unbedeckten Flächen zunächst Kupfer, dann Zinn oder Zinn/Blei galvanisch abgeschieden. Die Plattiermaske wird dann entfernt. Die Zinn- oder Zinn/Blei- Schicht wirkt beim nachfolgenden Ätzen als Ätzmaske (was der Metallresist-Technik den Namen gibt). Auf diese Weise erhält man sehr elegant eine (Metall-) Resistschicht auf den Wandungen der durchverkupferten Bohrungen, die die Durchverkupferung (und das übrige Leiterbild) vor dem Abätzen bewahrt. (Da diese Resistschicht an den Rändern unterätzt wurde, und die so überstehenden Zinnränder zum Abbrechen neigen und später in Form von Zinnflitter zu Kurzschlüssen führen können, wird die Zinnschicht im Anschluß umgeschmolzen.) Die Tenting-Technik ist dagegen eine reine Kupfertechnik. Als Ätzresist dient nicht eine Metallschicht, sondern ein Fotofilm, der sich wie ein Zelt (Tent = Zelt) über die Bohrungen legt. Auf diese Weise schützt der Fotofilm (Leiterbild positiv) die Leiterbahnen und auch die Durchverkupferung an den Bohrlochwandungen vor dem Abätzen. (Vor dem Herstellen der Ätzmaske wird nach der chemischen Vorverkupferung die gesamte Leiterplattenfläche galvanisch auf die volle Schichtdicke verkupfert.) Die Tenting-Technik konnte erst mit der Einführung von Feststoffen (Laminat) in dieser Form durchgeführt werden. Alternativverfahren versuchen, die Vorteile von Metallresist-Technik und Tenting-Technik zu vereinen: - Kupfer wird nur dort auf die volle Stärke gebracht, an denen es später auch stehen bleibt (Vorteil der Metallresist-Technik), - Am Ende des Verfahrens liegt auf der Kupferschicht keine Zinn- oder Zinn/Blei-Schicht, die unter einer nachträglich aufgebrachten Lötstoppmaske beim nachfolgenden Bestücken und Löten (industrielle, automatische Bestückung und Löten in Reflow-Anlage oder Schwallbad) schmilzt und die Lötstoppmaske aufschwimmen und eventuell abplatzen läßt (Vorteil der Tenting-Technik). Diese beiden Vorteile werden von der Metallresiststrip-Technik und der NICHEM-Technik vereint. (Siehe auch Aufgabe 1.30) 1.25 Wodurch wird bei subtraktiv und additiv hergestellten Leiterplatten die notwendige Haftfestigkeit der Leiterzüge auf dem Basismaterial realisiert? Da bei der Subtraktivtechnik die Leiterbahnen durch Wegätzen unerwünschter Flächenbezirke des Kupferlaminates hergestellt werden, ist die Materialverbindung Kupfer-Harz (außer an den Durchkontaktierungen) diejenige, die durch Auflaminieren der Kupferfolie beim Hersteller des Basismaterials entstanden ist. Zur Verbesserung der Haftung des Kupfers wird daher vor dem Verpressen auf der rauhen Seite der Kupferfolie ein sogenanntes Treatment aufgebracht, um durch Oberflächenvergrößerung eine Haftung auf dem Laminat zu erhalten, da sich beim Verpressen druckknopfähnliche Geometrien bilden. Bei der Additiv- und Semiadditiv-Technik wird dagegen die mechanische Verbindung des Kupfers mit dem Harz erst bei der chemischen Verkupferung gebildet. Hierfür wird ein Basismaterial verwendet, das nicht kupferkaschiert ist, sondern unter einer Aluminiumfolie eine Kleberschicht trägt. Alternativ kann auch Basimaterial erst beim Leiterplattenhersteller mit einer Vorhanggießmaschine mit Kleber beschichtet werden, der anschließend in einem Trockenofen ausgehärtet wird. Die Aluminiumfolie wird (nach dem Bohren und Reinigen) weggeätzt und die nun freiliegende Kleberschicht mit oxidativen Chemikalien aufgeschlossen (beispielsweise Chromschwefelsäure). Dieses Aufschließen bewirkt eine beträchtliche Vergrößerung der Oberfläche, was nach (Aktivieren / Beschleunigen und) der chemischen Verkupferung für eine feste Haftung der Kupferschicht sorgt Stellen Sie den Verfahrensablauf der DKL-Herstellung in Additivtechnik in drei Abschnitten dar. Wodurch werden hier im Unterschied zur Subtraktivtechnik die Toleranzen der Leiterzugbreiten bestimmt? Additivtechnik (nach dem CC 4 -Verfahren) Das Ausgangsmaterial ist unkaschiertes Basismaterial, das im Kern katalysiert ist, d. h. die Impfkeime zum Abscheiden des chemischen Kupfers sind dem Basismaterial beigemengt worden. Die Haftfestigleit des chemisch abgeschiedenen Kupfers zum Basismaterial hin wird durch ein Klebersystem erzeugt. Der in Additiv-Technik eingesetzte Haftvermittler ist ebenso wie das Basismaterial katalysiert. Der Kleber ist so beschaffen, daß er bei dem endgültigen Aushärten polymerisiert. Das Aufbringen des Klebers geschieht mit Hilfe einer Vorhanggießmaschine. Zuerst wird die eine Seite durch den Gießvorhang beschichtet und in einem Infrarotofen soweit vorgetrocknet, daß sofort

17 Fertigungstechnik Leiterplattentechnik Seite 17 danach die zweite Seite beschichtet werden kann. Beide Seiten werden dann in einem Trockenofen eingebrannt. Das Stanzen oder Bohren der Löcher geschieht mit den in der Leiterplattenherstellung üblichen Werkzeugen. Danach wird die Oberfläche mit Hilfe von Bürstmaschinen gereinigt und gleichzeitig aufgerauht. Die Plattiermaske (als negatives Leiterbild) kann je nach Schwierigkeitsgrad im Siebdruck oder mit Hilfe eines Feststoffresist aufgebracht werden. Zum Siebdrucken wird eine Zwei-Komponentenfarbe eingesetzt, die nach dem Verkupfern nicht mehr entfernt wird. Der Feststoffresist muß mit organischen Lösungsmitteln entwickelt und gestrippt werden. Alkali-strippbare Fotofolien eignen sich nicht, weil das Kupferbad hochalkalisch ist und so die Folie ablösen würde. Vor dem chemischen Verkupfern werden die freien Stellen des Haftvermittlers mit Borfluorwasserstoffsäure und Natriumbichromat aktiviert und die Leiterplatten anschließend mit Natriumbisulfit entgiftet und gespült. Anschließend werden die Leiterplatten (an den freien Stellen des Haftvermittlers) chemisch verkupfert. Bei einer Abscheiderate von 1,5 µm/h verweilen die Leiterplatten etwa 20 bis 24 Stunden im chemischen Kupferbad. Danach werden die Leiterplatten gespült, dekapiert und getempert. Anschließend folgen weitere Arbeitsschritte wie Lötstoppdruck, Servicedruck, Schützen der Oberfläche und Vereinzeln wie bei den Leiterplattenherstellverfahren der Subtraktivtechnik. Im Unterschied zur Subtraktivtechnik werden bei der Additivtechnik die Toleranzen der Leiterzugbreiten nicht durch eine Unterätzung, sondern ausschließlich durch die Toleranzen der Plattiermaske, die durch Siebdruck oder Fotolithographie hergestellt wurde, bestimmt. Im Falle der Fotolithographie werden die Toleranzen hauptsächlich durch Unterstrahlung der Filmkopie beim Belichten des Resists bestimmt. Abbildung 2: Verfahrensablauf für die CC 4 -Technik [1]

18 Seite 18 Fertigungstechnik Leiterplattentechnik 1.27 Warum konnte sich die Additivtechnik gegenüber der Subtraktivtechnik nicht entscheidend durchsetzen? Erläutern Sie die Semiadditivtechnik als eine Kompromißlösung zwischen beiden. Die Additivtechnik hat gegenüber der Subtraktivtechnik den Nachteil, daß zum Verstärken der Kupferschicht keine galvanischen Verfahren anwendbar sind, da bei der Additivtechnik zu keinem Zeitpunkt eine geschlossene, elektrisch verbundene Kupferoberfläche vorhanden ist. Für die Additivtechnik bleibt damit nur die chemische Kupferabscheidung, die sehr langsames Schichtenwachstum aufweist und daher sehr lange Verweilzeiten der Leiterplatten im Kupferbad verlangt. Dieser Nachteil wird aber teilweise von der Tatsache der verbesserten Wirtschaftlichkeit aufgewogen, daß nur dort Kupfer abgeschieden wird, wo es später als Leiterbahn oder Durchverkupferung verbleibt. Die langen Zeiten für das Verkupfern können auch ausgeglichen werden, da bei der chemischen Verkupferung die Leiterplatten in großer Anzahl in kleinen Abständen zueinander in das Bad gehangen werden können. (Bei galvanischem Verkupfern geht dies aufgrund der benötigten Stromverteilung nicht.) Dennoch hat sich die Additivtechnik nicht grundlegend durchgesetzt. In Europa arbeiten nur wenige Leiterplattenhersteller nach diesem System, in Japan dagegen viele [1]. Abbildung 3: Verfahrensablauf Semiadditiv-Technik [1] Die Semiadditiv-Technik ist der Subtraktivtechnik (und zwar speziell der Metallresist-Technik) sehr ähnlich. Es bestehen lediglich Unterschiede beim Basismaterial, in der chemischen Verkupferung und beim Ätzen des Leiterbildes. Das Basismaterial ist unkaschiert. Für eine gute Haftfestigkeit des später abgeschiedenen Kupfers wird ein Haftvermittler auf dem unkaschierten Basismaterial aufgebracht. Der Haftvermittler wird großtechnisch im Gießverfahren auf eine Aluminiumfolie aufgebracht. Diese Folien werden auf das

19 Fertigungstechnik Leiterplattentechnik Seite 19 Basismaterial auflaminiert (Transferbeschichtung). Nach dem Zuschneiden des Basismaterials erfolgt das Bohren oder Stanzen der Löcher. Beim Stanzen stört die Aluminiumfolie und wird daher vorher abgeätzt, beim Bohren erfolgt das Abätzen der Aluminiumfolie dagegen erst, nachdem alle Löcher gebohrt sind. Nach einem Reinigen der Oberfläche wird der Haftvermittler aufgeschlossen. Nach Entgiften, Spülen und Katalysieren wird chemisch das Kupfer abgeschieden. Die Kupferdicke beträgt etwa 5 µm. Auf die ganzflächige Kupferschicht wird das Leiterbild negativ im Siebdruck oder fotolithographisch aufgebracht. Danach wird die Kupferschicht an den Leiterbahnen und Durchkontaktierungen (wie bei der Metallresist-Technik) galvanisch verstärkt. (Nach der galvanischen Verkupferung kann eine Abdeckung mit Metallen und die alkalische Ätzung analog der Metallresist-Technik erfolgen. Der größte Anwendungsbereich liegt aber in der im folgenden beschriebenen reinen Kupferschaltung.) Es werden 40 µm galvanisches Kupfer abgeschieden, danach der Galvanoresist entfernt und die Leiterplatte mit sauren Ätzmedien geätzt. Die geringe Leitkupferschicht von ca. 5 µm läßt die Anwendung des Differenzätzens zu, bei der auch das Leiterbild ohne jeden Ätzresist um die Dicke der Leitkupferschicht abgeätzt wird. (Aus diesem Grund wurde die durch das galvanische Verkupfern erreichte Dicke der Kupferschicht etwas stärker bemessen, als für die fertige Leiterplatte vorgesehen ist.) Danach kann die Lötstoppmaske beidseitig aufgetragen und die freien Kupferflächen heißverzinnt werden Schlagen Sie drei technologische Varianten zur Herstellung von Leiterplatten in Feinstleitertechnik (Leiterzugbreiten < 100 µm) vor und begründen Sie deren Eignung. technologische Varianten zur Herstellung von Feinstleitern (Leiterzugbreiten < 100 µm): - Semiadditiv-Technik (keine Unterätzung aufgrund der Anwendung des Differenzätzens), - Volladditiv-Technik (keine Unterätzung, da bei diesem Verfahren überhaupt nicht geätzt wird), - Dünnschicht-Laminat-Technik (Die Dünnschicht-Laminat-Technik ist die Fertigung von Leiterplatten nach der Metallresist- Technik oder der Metallresiststrip-Technik. Es wird mit einem Basismaterial gearbeitet, das beidseitig eine nur 5 µm dicke Kupferkaschierung hat. Diese dünne Kupferfolie hat den Vorteil der geringen Unterätzung wie das chemische Kupfer der Semiadditiv-Technik, hat aber den Vorteil, ohne Haftvermittler zu arbeiten, der in der Semiadditiv-Technik immer Schwierigkeiten bereiten kann.) Die genannten Verfahren eignen sich für die Feinstleitertechnik, da in ihnen entweder nicht oder nur dünne Kupferschichten (5 µm) weggeätzt werden. Deshalb ist die bei den Ätzvorgängen auftretende Unterätzung nur wenige Mikrometer, also gering genug für die Feinstleitertechnik Stellen Sie den Verfahrensablauf der MLL-Herstellung in drei Abschnitten dar und gehen Sie dabei insbesondere auf die Lösung folgender Probleme ein: ausreichende Haftung zwischen den einzelnen Lagen sichere elektrische Verbindung der einzelnen Leiterbildebenen. Die Multilayer-Leiterplatte besteht aus mehreren Lagen, die aufeinander gelegt und zu einer Platte verpreßt werden. Eine elektrische Verbindung der Lagen untereinander erhält man mit Hilfe von durchkontaktierten Lochgruppen. Das Herstellen einer Multilayer-Leiterplatte gliedert sich in drei Gruppen: - Erstellen der inneren Leiterschichten - Laminiervorgang - Durchverkupferung und Erstellen des äußeren Leiterbildes Die inneren Schichten werden wie bei einer NDKL-Leiterplatte hergestellt (jedoch ohne die Löcher zu bohren): Auf ein kupferkaschiertes Basismaterial wird durch Siebdruck oder Fotolithographie eine Ätzmaske aufgebracht und die Platte dann im sauren Ätzbad geätzt. Das Basismaterial ist ausgehärtet. Um eine gute Haftung der inneren Lagen zu den später aufgepreßten Prepregplatten zu erreichen, muß die Kupferoberfläche chemisch aufgerauht werden. Einseitig kupferkaschiertes Basismaterial, innere Lagen und Prepregplatten werden aneinandergelegt, so daß zwischen eventuell mehreren inneren Lagen jeweils zwei Prepregplatten liegen. Prepregs bestehen aus Glasgewebe, das mit Harz imprägniert ist. Dieses Harz ist soweit vorpolymerisiert, daß

20 Seite 20 Fertigungstechnik Leiterplattentechnik die Prepregs nicht mehr klebrig sind. Bei Erreichen der Preßtemperatur geliert das Harz, härtet nach dem Erreichen des Laminierdruckes vollständig aus und bildet eine fest haftende Verbindung zwischen den Lagen. Nach dem Verpressen wird das Lochbild gebohrt, das äußere Leiterbild und die Durchverkupferung wird nach Verfahren der Subtraktivtechnik hergestellt. Das Durchverkupfern (d. h. Metallisierung der Lochwandungen) schafft die elektrische Verbindung zwischen den Lagen, was jedoch durch verschmiertes Harz erschwert wird Warum sind in herkömmlicher Metallresisttechnik gefertigte DKL für eine Lötstoppmaskierung nicht gut geeignet? Wodurch zeichnen sich lötstoppgerechte Leiterplattenoberflächen aus und wie kann man sie realisieren? Die Metallresist-Technik hat viele Vorteile, aber auch einen wesentlichen Nachteil. Da alle Leiterbahnen einer durch die Metallresist-Technik hergestellten Leiterplatte verzinnt sind, liegt eine nachher aufgebrachte Lötstoppmaske nicht direkt auf dem Kupfer, sondern auf der Zinnschicht. Beim Löten der Leiterplatte (Reflow oder Schwallbad) schmilzt das Zinn unter der Lötstoppmaske und bringt sie zum Aufschwimmen, wodurch Teile der Maske abplatzen können. Dieses Problem kann mit einer als Feststoffresist (Laminat) gebildeten Lötstoppmaske oder aber durch ein Leiterplattenherstellverfahren ohne verzinnte Leiterbahnen gelöst werden. a) b) Abbildung 4: a) Verfahrensablauf Metallresiststrip-Technik [1], b) Verfahrensablauf NICHEM-Technik [1]

21 Fertigungstechnik Leiterplattentechnik Seite 21 Als Leiterplattenherstellverfahren bieten sich die Metallresiststrip-Technik und die NICHEM-Technik an. Bei der Metallresiststrip-Technik wird im Gegensatz zur Metallresist-Technik die als Ätzresist dienende Zinn- oder Zinn/Blei-Schicht chemisch entfernt. Nach Aufrauhung der nun freiliegenden Kupferschicht (durch Bürsten oder durch gezielte Oxidation) wird die Lötstoppmaske direkt auf dem Kupfer aufgebracht. Erst danach werden die noch freiliegenden Kupferflächen zu Erhaltung der Lötbarkeit entweder mit einem Lötlack versehen oder heißverzinnt. Bei der NICHEM-Technik wird im Gegensatz zur Metallresist-Technik als Ätzresist ein Metall verwendet, das nicht wie Zinn oder Zinn/Blei beim Löten aufschwimmt: Nickel. Auch bei der NICHEM- Technik werden die freien metallenen Oberflächen im allgemeinen heißverzinnt Wie wird bei Leiterplatten mit und ohne Lötstoppmaskierung eine gute Lötbarkeit der Kontaktierflächen gesichert? Man kann die Verfahren, die die Kupferoberfläche vor dem Oxidieren bewahren, in zwei Gruppen einteilen: Man erhält die Lötfreudigkeit durch Konservieren der gereinigten Kupferoberfläche. Diese Konservierungsschicht muß später beim Lötvorgang mit Hilfe des verwendeten Flußmittels abgelöst werden. Man versieht die Leiterplatte mit einem lötbaren Metall oder einer Metallegierung, die keine Korrosionserscheinungen zeigt. Zum Schutz können eingesetzt werden: Organische Lötlacke. Diese Lacke sind auf Kolophoniumbasis aufgebaut und haben durch Zusatz von Weichmachern die Eigenschaft, auch bei niedrigen Lagertemperaturen nicht zu verspröden. Zum Aufbringen werden Walzlackiergeräte verwendet. Metallene Schutzüberzüge. Zinn, Zinn/Blei-Legierung, Silber, Gold. Diese Metalle können galvanisch, chemisch oder physikalisch aufgebracht werden. Folgende Verfahren können eingesetzt werden: galvanisches Veredeln vor dem Ätzen der Leiterplatte, galvanisches Veredeln nach dem Ätzen der Leiterplatte, chemisches Veredeln nach dem Ätzen, Heißverzinnen (bei Zinn, Zinn/Blei) Abbildung 5: Prinzip der Heißluftverzinnung [1] Das Heißverzinnen dürfte das gebräuchlichste Verfahren sein. Die Leiterplatten werden gebeizt, gespült, getrocknet und unmittelbar danach heißverzinnt.

22 Seite 22 Fertigungstechnik Leiterplattentechnik Der Beschichtungsvorgang erfolgt beim Heißverzinnen durch Eintauchen der mit Fluxmittel (Flußmittel) beschichteten Leiterplatte in ein Wellenlötbad. Die Lottemperatur beträgt 240 C, die Tauchzeit 2 bis 3 Sekunden. Vor dem Eintauchen wird die Welle des Lötbades abgeschaltet, nach dem Herausziehen der Leiterplatte wird die Welle im Bad wieder eingeschaltet, um Oxide und Flußmittelrückstände an der Badoberfläche zu entfernen. Beim Herausziehen werden die Leiterplatten an Düsen vorbeibewegt, die auf 220 C erhitzte Luft mit hohem Druck auf die Leiterplatte blasen und so überstehendes Lot entfernen und die Löcher freiblasen. In einem nachfolgenden Reinigungsprozeß wird das wässrige Flußmittel entfernt. Am Ende des Herstellungsprozesses der Metallresisttechnik wird die (an den Rändern unterätzte) Zinn- oder Zinn/Bleischicht nur umgeschmolzen, sie dient der Erhaltung der Lötbarkeit Wie werden MSL in Aufbau- und MDL in Multiwire-Technik hergestellt und welche technische Bedeutung kommt ihnen zu? MSL in Aufbautechnik [15]: Abweichend von der MLL haben MSL zylinderförmige, lochfüllende Durchkontaktierungselemente und darum (in der Grundvariante) keine Bestückungslöcher. Ausgangspunkt der Herstellung ist ein einseitig kupferkaschiertes Basismaterial. Sofern diese Kupferschicht nicht die relativ starke Schichtdicke von 250 µm hat, wird sie galvanisch auf dieses Maß verstärkt. Nach einer Reinigung wird mittels eines Feststoffresists (als Ätzmaske) das positive Bild der Durchkontaktierung zur nächst höheren Schicht aufgebracht. Die dabei nicht abgedeckten Kupferflächen werden bis auf 100 µm Schichtdicke abgeätzt. Nach Entfernen der bisherigen Ätzmaske wird eine neue, positive Ätzmaske für das Leiterbild aufgebracht. Der davei verwendete Feststoffresist paßt sich den durch die vorherigen Verfahrensschritte entstandenen 100 µm / 250 µm-stufen an. Die freigebliebenen Bezirke der (100 µm dicken) Kupferschicht werden ganz abgeätzt. Nach Entfernen der Ätzmaske wird eine Prepreg-Platte aufgepreßt. Dabei geliert das Harz des Prepregs, härtet aus und schafft eine feste Verbindung. Die Prepreg- Platte ist zur Vereinfachung der nachfolgenden Verfahrensschritte kernkatalysiert. Die aufgepreßte Prepregschicht wird soweit abgeschliffen, daß die 250 µm dicken Kupferflächen (die Durchkontaktierungen) an der Oberfläche liegen, die 100 µm dicken Kupferbezirke jedoch im Harz isoliert eingeschlossen sind.

23 Fertigungstechnik Leiterplattentechnik Seite 23 Auf der (durch Kernkatalysierung vorbereiteten) Oberfläche wird nun chemisch 1 µm Kupfer abgeschieden, der schrittweise galvanisch verstärkt wird, erst auf 10 µm, dann auf 250 µm. Mit der letzten abgeschiedenen Kupferschicht kann das Verfahren wiederholt werden, wobei dann schrittweise die MSL mit 4 bis 10 Schichten aufgebaut wird [3]. Nach dem letzten Prepreg-Schleifen werden die sichtbaren Kupferflächen verzinnt. Wiederholung der obigen Schritte Die Verdrahtungsdichte einer MSL ist größer als bei einer MLL, da die Durchkontaktierelemente kleiner sind und Verbindungen zwischen beliebigen Leiterebenen möglich sind. Die Zuverlässigkeit von Durchkontaktierelementen einer MSL ist größer als die der Lochmetallisierungen einer MLL. MSL werden aus Kostengründen nur eingesetzt, wenn die erhöhte Verdrahtungsdichte und Zuverlässigkeit erforderlich ist. Es existiert auch eine preiswertere MSL-Variante, bei der die Isolierschichten nicht aus aufgepreßtem Prepreg bestehen, sondern die Isolierschichten im Siebdruckverfahren hergestellt werden [1]. MDL (Multiwire-Technik) [15]: Das Grundkonzept dieser Leiterplatten besteht darin, Leiterzüge mit Hilfe eines numerisch gesteuerten Drahtlegekopfes auf einer vorbereiteten Oberfläche mit isoliertem Draht zu schreiben. Die Isolation des Drahtes besteht aus Polyimid. Aus diesem Grund lassen sich Drähte kreuzen, dies führt zu einer hohen Packungsdichte. Als Ausgangspunkt wird unkaschiertes oder einseitig oder zweiseitig kupferkaschiertes Basismaterial verwendet. (Typischerweise letzteres.) Das Basismaterial ist kernkatalysiert. Im Falle eines kupferkaschierten Basismaterials werden Leiterbahnen wie bei einer NDKL hergestellt (sowohl einseitig als auch zweiseitig). Ein Haftvermittler (Kleber) wird ganzflächig aufgebracht und die Drähte werden dann mit einer numerisch gesteuerten Multiwire-Drahtverlegemaschine in diese Haftvermittlerschicht eingebettet. Zum Schutz der Drähte wird eine ebenfalls kernkatalysierte Prepregschicht auflaminiert. (Dieser Prepreg kann auch einseitig kupferkaschiert sein und später als zusätzliche Ebene benutzt werden, die Polyethylenschutzfolie entfällt dann.) Die Prepreg-Folien und die Haftvermittlerschicht werden gemeinsam ausgehärtet, und eine Polyethylenschutzfolie beidseitig auflaminiert. Beim nachfolgenden Bohren werden die Drahtenden angebohrt. Mit Hilfe spezieller Anätzmethoden wird die Polyimidisolation an den Drahtenden in den Bohrungen ca. 50 µm abgeätzt und die Löcher von Basismaterialresten befreit.

24 Seite 24 Fertigungstechnik Leiterplattentechnik Die Platten werden nun in einem chemischen Kupferbad verkupfert, wobei das Abscheiden des Kupfers an den Wandungen der Löcher, an der Kupferkaschierung des Basismaterials (sofern die Kupferkaschierung vorhanden ist) und an den freiliegenden Drahtenden erfolgt. Nach dem Verkupfern wird die Polyethylenschutzfolie abgezogen und das Kupfer der Lochgruppen gebeizt und geschützt. Die MDL hat zwar eine sehr hohe Packungsdichte, ihr kommt jedoch nur eine geringe technische Bedeutung zu, da ihre Herstellung relativ zeitaufwendig (wegen des sequentiellen Verfahrens des Drahtlegens) ist und nicht für hohe Stückzahlen geeignet ist Warum wird die Realisierung von Signalleitern mit definiertem Wellenwiderstand auf bzw. in Leiterplatten immer wichtiger? Welche Möglichkeiten bestehen dafür bei EEL, DKL, und MLL? Der Fortschritt in der Halbleitertechnik ermöglicht höhere Signalfrequenzen und Datenraten in der integrierten Schaltungstechnik (hauptsächlich durch Verkleinerung der Strukturen) als noch vor wenigen Jahren. Die korrekte Funktion der gesamten Schaltung hängt von einer unverfälschten Signalverteilung innerhalb der Baugruppe ab. Jeder Signalweg besitzt einen Ausgang (an einem IC), eine Leitung (die Leiterbahn der Leiterplatte) und einen Eingang (an einem anderen IC). Jede Leitung besitzt einen Wellenwiderstand 6,der die Leitungsimpedanz am Leitungsanfang- und Leitungsende bestimmt. Der Wellenwiderstand bestimmt weiterhin die Geschwindigkeit, mit der sich eine Impulsflanke auf der Leitung fortpflanzt 7. Weiterhin werden Impulse an einer Stelle, an der sich zwei Leitungsstücken mit unterschiedlichem Wellewiderstand treffen (Fehlanpassung), reflektiert. Als Fehlanpassung wirkt auch ein Eingang bzw. Ausgang eines IC, dessen Eingangs- bzw. Ausgangswiderstand nicht mit dem Wellenwiderstand der angeschalteten Leitung übereinstimmt. Wenn in einem Signalweg mindestens zwei Fehlanpassungen auftreten, dann können sich teilweise stehende Wellen bilden, d. h. eine Impulsflanke wird an der derjenigen Fehlanpassung, die näher am Eingang liegt, reflektiert und läuft (entgegen der beabsichtigten Signalrichtung) wieder zurück und wird dann an derjenigen Fehlanpassung, die näher am Ausgang liegt, nochmals reflektiert. Als Ergebnis kommen am Eingang des IC am Ende des Signalweges anstelle der beabsichtigten Impulsflanke mehrere überlagerte Impulsflanken an: die Impulsflanke, die direkt ohne Reflexion vom Ausgang zum Eingang gelaufen ist und weitere Impulsflanken, die (durch ein- und mehrmalige Reflexion) zeitlich periodisch (in zeitlich abklingender Form) eintreffen. Ist die Laufzeit einer Leitung kürzer als die halbe Dauer der verwendeten Impulsflanken, dann kann dieser Effekt vernachlässigt werden. Man spricht dann von einer elektrisch kurzen Leitung. Die IC- Aus- und Eingänge und die Leitung müssen nicht angepaßt sein 8. Sind die Impulsflanken jedoch so kurz, daß deren halbe Flankendauer kleiner als die Laufzeit der Leitung ist, dann muß der Wellenwiderstand der Leitung angepaßt sein 9. Man spricht dann von einer elektrisch langen Leitung. 6 Der Wellenwiderstand ist möglicherweise über der gesamten Leitungslänge nicht konstant. 7 Aufgrund der Induktivität der Leitung und der Kapazität gegenüber anderen Leitungen ist diese Geschwindigkeit viel kleiner als die Lichtgeschwindigkeit. 8 In diesem eingeschränkten Frequenzspektrum betrachtet, wird der Ausgang nur mit der Leitungskapazität belastet. 9 Der Wellenwiderstand muß über der gesamten Leitung konstant sein und muß zumindest entweder an den Aus- oder Eingang angepaßt sein. (Bei analogen Anwendungen, bei denen es auf die Impulsform genau ankommt beides.) Im allgemeinen wird der Wellenwiderstand durch eine Änderung der Leiterbahngeometrie der Leiterplatte angepaßt. In Prototypen kann dies auch durch nachträglich direkt an Ausgänge angelötete Widerstände erreicht werden. Eine angepaßte elektrisch lange Leitung belastet den Ausgang nur rein ohmisch mit dem Wellenwiderstand.

25 Fertigungstechnik Leiterplattentechnik Seite 25 Abbildung 6: Anordnung von Leiterzügen (Querschnitte) [3], a) Parallel- Leiter, b) Stripline-Leitung, c) Microstrip-Leitung (linkes Bild: Oberflächenleitung) In der Leiterplattentechnik lassen sich definierte Wellenwiderstände nur bei DKL (mit geringen Anforderungen) und mit MLL bzw. MSL (für höhere Anforderungen) erreichen. Der Wellenwiderstand einer Leiterbahn hängt im wesentlichen ab von [3]: - von der relativen Permeabilitätszahl des Harzes der Leiterplatte, wobei es auch eine Rolle spielt, ob die Leiterbahn an der Oberfläche oder im Inneren des Harzes verläuft, - von der Leiterbahnbreite und - von der Dicke der Harzschicht, da sie den Abstand zum nächsten Leiter bestimmt (der als kaltes Ende der Leiterbahn mit definiertem Wellenwiderstand gilt). Die Dicke der Kupferschicht beeinflußt den Wellenwiderstand kaum. Eine Leiterbahn mit einem definiertem Wellenwiderstand kann als Stripline oder als Microstrip ausgeführt sein (sowohl bei DKL als auch MLL, wobei eine MLL mit genügender Anzahl an Lagen ein Kreuzen von mehreren Microstrip-Leitungen erlaubt). In der Fertigung ist eine Toleranz des Wellenwiderstand von ±10% zu erwarten, was aber bei digital arbeitenden Schaltungen nicht zu Schwierigkeiten führen dürfte Wobei die Impedanz der Ein- und Ausgänge der ICs exemplarabhängig streut und eventuell auch signalabhängig (digital Low oder High) ist.

26 Seite 26 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik 2 Integrierte Schichttechnik, Hybridtechnik Grundlagen 2.1 Erläutern Sie das Verfahrensprinzip der Schichttechnik und charakterisieren Sie kurz die beiden Varianten Dünn- und Dickschichttechnik. Was versteht man unter Schichthybridtechnik? Verfahrensprinzip der Schichttechnik ist das Aufbringen von Schichten unterschiedlicher Leitfähigkeit auf einem isolierenden Substrat. Das isolierende Substrat wird dabei nicht verändert. Alle elektrisch leitenden Elemente (Leiterbahnen, Widerstände, Kondensatoren) werden allein durch die aufgebrachte Schicht gebildet (wobei auch isolierende Schichten möglich sind). Anhand der Art der Schichtabscheidung unterscheidet man Dünn- und Dickschichttechnik. Bei der Dünnschichttechnik werden die Schichten mit Vakuumbeschichtungsverfahren auf Träger aus Glas oder Keramik aufgebracht. Bei der Dickschichttechnik werden die Schichten dagegen durch Siebdruck aufgebracht. Unter Schichthybridtechnik 11 versteht man den Aufbau und die Verknüpfung von Bauelementen aus unterschiedlichen Materialien und Herstellungstechnologien auf einem gemeinsamen Substrat. 2.2 Welche Bedeutung hat die Schicht- bzw. Schichthybridtechnik in der Elektronik neben der Leiterplatten- und Halbleitertechnik und darüber hinaus? Die Schichthybridtechnik ermöglicht Baugruppen, die mit nur mit gehäusten Bauelementen auf Leiterplatten nicht möglich sind. Kriterien, deren Realisierung bei der Leiterplattentechnik schwierig ist oder die die Leiterplattentechnik sogar ausschließen und für Schichthybridtechnik sprechen, sind: - engtolerierte Widerstände, - Schaltungen, die einen Abgleich benötigen, - Schaltungsteile mit hoher Langzeitstabilität, - hohe Frequenzen (mehr als einige 100 MHz), - Analog/Digital-Kombinationen mit relativ hoher Integrationsdichte, - HF/NF-Kombinationen, die mit hoher Packungsdichte realisiert werden müssen (beispielsweise weil die Baugruppe eine bestimmte Größe nicht überschreiten darf), - Integration optoelektronischer Komponenten, - Schaltungsteile, die hermetisch verpackt werden müssen, - Schaltungen für Anwendugnen, die eine hohe Zuverlässigkeit erfordern. Gegenüber der Leiterplattentechnik hat die Schichthybridtechnik die Vorteile: - hohe Anschlußdichten bei ICs durch Montage des ungehäusten Dies 12 (und Bonden der Anschlüsse), kurze elektrische Verbindungen, kurze Signallaufzeiten, - höhere Zuverlässigkeit gegenüber der diskreten Bauelementetechnik (auf Leiterplatte) durch Reduzierung der Anzahl von Verbindungen von Kontaktstellen, - Möglichkeit des vollautomatischen Funktionsabgleiches durch Lasertrimmen der schichtförmigen Widerstände, Gegenüber der monolithischen Halbleitertechnik hat die Schichthybridtechnik die Vorteile: - Kombinationsmöglichkeiten von Bauteilen, die nach unterschiedlichen Basistechnologien gefertigt wurden, auf einem Substrat als einen der entscheidenden Vorteile gegenüber der monolithischen Halbleiterblocktechnik, - Ausmessen der Bauelemente vor deren Montage zur Erzielung einer hohen Ausbeute ist möglich, - Realisierung von Baugruppen mit höheren Verlustleistungen 13 und für Höchstfrequenzanwendungen, 11 Auch einfach Hybridtechnik oder auch hybride Schaltungsintegration genannt. 12 Die ist ein Synonym für den Chip, den Halbleiterkristall. 13 Wobei allerdings innerhalb der letzten Jahren solche ICs, die monolithisch Leistungselektronik und logische Ansteuerschaltungen beinhalten, zunehmend Verbreitung finden.

27 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite 27 - wirtschaftliche Fertigung auch bei kleinen Stückzahlen (kleiner als 1000). Obwohl die Größe des Substrates einer Hybridschaltung begrenzt ist, kann die Komplexität dennoch hoch sein. Die Schichthybridtechnik kann jedoch eine Leiterplatte nicht in jedem Fall ersetzen. Die Schichthybridtechnik kann auch nicht die monolithische Halbleitertechnik ersetzen. Die Halbleitertechnik bietet eine viel höhere mögliche Integration und Zuverlässigkeit 14. Die Bedeutung der Schichttechnik (Dick- und Dünnschichttechnik) besteht darin, daß sie die Herstellung von Leiterbahnen und passiven Bauelementen mit geringen Abmessungen (Widerstände und Kondensatoren) auf einem Substrat ermöglicht und so eine Grundlage für die Schichthybridtechnik darstellt. 2.3 Geben Sie einen Überblick über die in der integrierten Schichttechnik eingesetzten Schichtherstellungs- sowie Strukturier- und Abgleichverfahren. Verfahren Dickschichttechnik Dünnschichttechnik Schichterzeugung und Strukturierung Schichtauftrag durch Siebdruck durch ein strukturiertes Sieb, dabei wird Schicht unmittelbar strukturiert (additives Verfahren) ganzflächiger Auftrag einer fotosensiblen Paste (organische Polymere), die durch Belichten (durch eine strukturierte Arbeitskopie) und Entwickeln strukturiert wird Abgleichverfahren kollektiver Abgleich durch erneutes Brennen der Widerstandsschicht individueller Abgleich durch Schichterzeugung durch eine Haftoder Wechselmaske hindurch; (bei Wechselmasken wird hierbei typischerweise das Aufdampfen verwendet), dabei wird Schicht unmittelbar strukturiert, wahlweise durch die vor der Schichterzeugung aufgetragene strukturierten Haftmaske; die ebenfalls bei der Schichterzeugung beschichtete Maske wird abgehoben, so daß auf Substrat eine strukturierte Schicht zurückbleibt (sogenannte Lift-Off-Technik, Verfahren eignet sich nur bei dünnen Schichten und sehr ebenen Substraten), die während der Schichtabscheidung in sehr kleinem Abstand über dem Substrat positionierte Wechselmaske, die eine Beschichtung der durch sie abgedeckten Flächen verhindert ganzflächige Schichterzeugung; verwendet wird hierbei typerweise das Sputtern (Katodenzerstäuben), Strukturierung der Schicht erfolgt wahlweise nach der Schichterzeugung Auftragen einer strukturierten Ätzmaske und anschließendes Abätzen, nach der Schichterzeugung durch Elektronenstrahlfräsen kollektiver Abgleich durch Temperung (Erhitzen) der Widerstandsschicht, individueller Abgleich durch 14 Zuverlässigkeit in Bezug auf die Anzahl der integrierten Schaltungselemente.

28 Seite 28 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Verfahren Dickschichttechnik Dünnschichttechnik Laserstrahlabgleich (Lasertrimmen), Sandstrahlabgleich Laserstrahlabgleich Elektronenstrahlabgleich Anodische Oxidation (Ta- Dünnschichttechnik) 2.4 Wie ist der ohmsche Widerstand und der Flächenwiderstand von Schichtstrukturelementen definiert und welche Möglichkeiten zur Beeinflussung ihrer Werte bietet der schichttechnologische Prozeß? s l b Der Widerstand eines bandförmigen Strukturelementes mit den Maßen b, s und l aus einem Material mit dem spezifischen elektrischen Widerstand ρ beträgt (entlang der langen Seite) R l ρ =. A Mit A = s b läßt sich diese Gleichung umformen in R ρ l =. s b ρ Hierbei wird der Term s auch als Flächenwiderstand RF bezeichnet. Damit erhält man als Widerstand l R R F b mit dem Flächenwiderstand R F ρ s =. Der Wert des Widerstandes läßt sich im schichttechnologischen Prozeß beeinflussen durch - die Wahl der Widerstandspaste bei der Schichterzeugung (Beeinflussung von ρ und damit auch des Flächenwiderstandes R F ), - die Schichttdicke bei der Schichterzeugung (Beeinflussung von s und damit auch des Flächenwiderstandes R ), F - die Abmessungen des Widerstandes bei der Strukturierung (Bestimmen von l und b ) 2.5 Welche Anforderungen müssen an Substrate der Schichttechnik gestellt werden und welche kommen in der Dünn- und Dickschichttechnik zum Einsatz? Welche Vorteile haben sie gegenüber LP-Basismaterialien? Substrate sollten folgende Anforderungen erfüllen: - hohe Biegefestigkeit (um sie ohne Probleme im Siebdruck beschichten zu können), - geringe Oberflächenrauhigkeit (d. h. geringe Rauhtiefe; die Rauhigkeit setzt sich als Dickenschwankungen der aufgetragenen Schichten fort und beeinflußt so die Werte von aufgetragenen Widerständen und Kondensatoren; die Dünnschichttechnik stellt verschärfte Anforderungen an die Rauhigkeit von Substratoberflächen), - hoher spezifischer elektrischer Widerstand, - niedrige Dielektrizitätszahl (um Streukapazitäten zwischen Leiterbahnen gering zu halten),

29 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite 29 - hohe thermische Leitfähigkeit (um die Verlustleistung der Baugruppe abführen zu können), - Temperaturbeständigkeit gegenüber den Prozeßtemperaturen, - chemische Resistenz gegenüber den verwendeten Pasten, - geringe Kosten. In der Schichttechnik werden folgende Substratmaterialien verwendet: - Al 2 O 3 -Keramiken sind in der Dick- und Dünnschichttechnik die am meisten verwendeten Substrate. Die thermische Leitfähigkeit der Al 2 O 3 -Keramik ist in den meisten Fällen ausreichend und nur in Spezialanwendungen (Leistungselektronik) muß auf andere Substratmaterialien ausgewichen werden. Da in der Dünnschichttechnik die Anforderungen an Reinheit und Rauhigkeit größer als bei der Dickschichttechnik sind, kommen dort Al 2 O 3 -Keramiken mit 99,5% Reinheit (gegenüber 94% oder 96% in der Dickschichttechnik) zur Anwendung. - Saphir oder Glas (Alkali- Borsilikat-, Aluminiumsilikat- oder Quarzglas). Diese Al 2 O 3 -Keramik ist jedoch für Kondensatoren in Dünnschichttechnik immer noch zu rauh, so daß hier entweder eine örtliche Verglasung der Keramikoberfläche oder auch andere Substratmaterialien wie Saphir (einkristallines Al 2 O 3 ) oder Glas verwendet werden können. - BeO-Keramik hat den Vorteil einer erheblich besseren thermischen Leitfähigkeit als Al 2 O 3 -Keramik (etwa Faktor 10). BeO hat aber die Nachteile eines hohen Preises und der hohen Toxizität von BeO-Staub, vor dem das Personal beispielsweise bei der Bearbeitung und beim Lasetrimmen geschützt werden muß. BeO wird deshalb relativ selten eingesetzt. - Aluminiumnitrid (AlN)-Keramik hat ebenfalls eine hohe thermische Leitfähigkeit, die nicht ganz so hoch wie bei BeO-Keramik liegt, AlN-Keramik-Stäube sind jedoch ungiftig. Weiterhin weist AlN einen thermischen Ausdehnungskoeffizienten auf, der dem von Silizium angepaßt ist, wodurch sich dieses Material als Substrat für Chip-Verdrahtungstechnik eignet. - Für Anwendungen, die große Substratabmessungen und damit eine besonders hohe Biegefestigkeit erfordern, kommen auch beschichtete Metallsubstrate zur Anwendung, beispielsweise Ceramic-Coated-Metal (CCM) oder ierte Stahlsubstrate (ESS). Die Vorteile von Substratmaterialien der Schichttechnik gegenüber Leiterplattenbasismaterialien sind hauptsächlich - die hohe Ebenheit und die geringe Rauhtiefe der Oberfläche und - die hohe Biegefestigkeit. Dünnschichttechnik 2.6 Erläutern Sie die Abscheidung dünner Schichten durch Aufdampfen im Hochvakuum und gehen Sie dabei auf die wichtigsten Schwächen des Verfahrens ein. Welche Fortschritte bringt der Übergang von der Widerstandsheizung zur Elektronenstrahlverdampfung? Das Aufdampfen wird in einer Apparatur durchgeführt, in der durch ein Vakuum eine Prozeßumgebung geschaffen wird, in der eine Vielzahl von nicht beherrschbaren Umweltparametern ausgeschaltet wird. Beim Aufdampfen wird der Stoff, der auf das zu beschichtende Substrat gebracht werde soll, in einem Tiegel erhitzt, so daß der Stoff verdampft. Die Atome verteilen sich in der Apparatur und treffen auf das Apparaturgehäuse und auch auf das zu beschichtende Substrat und kondensieren dort. (Die Substratoberfläche kann vor der Beschichtung durch Aufheizen von Fremdschichten gereinigt werden.) Ein Nachteil des Aufdampfens ist die unselektive Beschichtung der gesamten innenliegenden Oberflächen der Apparatur, die Beschichtungsrate liegt im Vergleich zu anderen Beschichtungsverfahren (der Dünnschicht- bzw. Halbleitertechnik) relativ hoch. Ein weiterer Nachteil des Aufdampfens ist die relativ geringe Schichthaftung der erzeugten Schicht.

30 Seite 30 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Abbildung 7: Schema des Aufdampfprozesses [7] Eine bedeutende Rolle beim Aufdampfen spielt die Aufdampfquelle. Im wesentlichen gibt es drei Typen von Quellen: - Die direktbeheizte Widerstandsquelle. Sie besteht meist aus einem Blechschiffchen aus wärmebeständigem Material (Wolfram oder Tantal), in welches das Verdampfungsgut eingelegt wird. Durch Stromdurchgang wird das Schiffchen derart erhitzt, daß das Quellenmaterial verdampft. Handelt es sich dabei um eine Legierung, deren Komponenten unterschiedliche Dampfdrücke haben, ändert sich die Zusammensetzung der aufgedampften Schicht über die Schichtdicke. Bei reaktionsfreudigem Aufdampfmaterial kann das Schiffchen durchlegieren. - Die induktiv beheizte Quelle. Hierbei wird ein elektrisch leitfähiger Tiegel (aus beispielsweise Graphit) mittels eines hochfrequenten Magnetfeldes von einer Induktionsspule aufgeheizt. Ist das Verdampfungsgut selbst elektrisch leitfähig, dann kann der Tiegel auch aus einem elektrisch isolierenden Material bestehen. Nachteilig ist der relativ teure Hochfrequenzgenerator und die Notwendigkeit einer sorgfältigen Abschirmung der Apparatur gegen elektromagnetische Streustrahlung. - Die Elektronenstrahlverdampfung. Dieses Verfahren wird vermutlich am meisten eingesetzt. Ein Elektronenstrahl wird dabei auf das Tiegelmaterial gerichtet und verdampft dieses. Um die Glühkatode nicht durch Ionenbeschuß aus der Quelle zu zerstören, sitzt die Katode meist unterhalb der Quelle und wird durch ein Magnetfeld in einem Bogen auf die Oberfläche der Quelle gelenkt. Durch elektrostatisches Ablenken des Elektronenstrahls kann man in vorbestimmten Taktverhältnissen mehrere Quellen gleichzeitig anfahren und aufheizen und so Schichten aus Legierungen mit genau vorgegebenen Mischungsverhältnissen erzeugen. Abbildung 8: Unterschiedliche Quellen für Verdampfung [7]

31 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite Erläutern Sie das Verfahrensprinzip der Katodenzerstäubung (Sputtern). Welche Vorteile hat dieses Verfahren gegenüber dem Aufdampfen? Was ist und wozu dient die reaktive Zerstäubung? Beim Sputtern stehen sich in der Apparatur ein räumlich ausgedehntes Target (die Quelle) und das Substrat auf wenigen Zentimetern Abstand gegenüber. Zwischen diesen beiden als Elektroden geschalteten Flächen brennt eine Plasmaentladung in einem Argongas. Die aus der Entladung angezogenen Argonionen werden auf das Target zu beschleunigt und schlagen aufgrund ihrer hohen kinetischen Energie neutrale Atome oder Molekülbruchstücke aus der Targetoberfläche heraus, die mit hoher Geschwindigkeit aus das Substrat zufliegen und dort kondensieren. Gegenüber dem Aufdampfen haben die Atome dabei die 10- bis 100-fache kinetische Energie. Dementsprechend hoch ist auch die Haftfestigkeit der Schichten, die sich auf dem Substrat bilden, höher gegenüber durch Aufdampfen erzeugten Schichten. Ein weiterer Vorteil des Sputterns ist die Tatsache, daß die Beschichtungsmaterialien nicht durch die Schmelzphase gehen. Somit können auch hochschmelzende Materialien wie Tantal oder Wolfram oder solche, die keinen definierten Schmelzpunkt haben, wie Keramiken, zerstäubt werden. Diesem offensichtlichen Vorteil steht der Nachteil einer im Vergleich zum Aufdampfen geringeren Beschichtungsrate gegenüber. Zwar hat man durch Erhöhung der Ionenausbeute im Plasma durch ein Magnetfeld beim Magnetronsputtern die Beschichtungsrate um den Faktor 10 erhöhen können, dennoch ist in den meisten Fällen das Sputtern, wenn es um sehr hohe Beschichtungsraten geht, dem Aufdampfen unterlegen. Ein Vorteil für das Sputterverfahren ist neben der Beschichtung von Substraten auch die Möglichkeit der Reinigung der Substratoberfläche. Durch Einsetzen des Substrates anstelle des Targets wird nun zunächst das zu beschichtende Substrat abgetragen (abgesputtert). Dadurch erreicht man eine extreme Reinigung der Oberfläche, da eine mehere Atomlagen dicke Schicht vom Substrat entfernt wird. Auch bei der normalen Beschichtung läuft dieser Prozeß des Absputtern weiter in Konkurrenz zum Aufwachsen der Schicht. Dadurch werden schlecht haftende Atome und Gasmoleküle, die sich während des Beschichtungsprozesses laufend anlagern, wieder von der Oberfläche entfernt. Das Beschichten heißt zur expliziten Unterscheidung zum Absputtern (oder Sputterätzen) auch Aufsputtern. Im stationären Zustand stellt sich also ein Gleichgewichtszustand zwischen einer Adsorptionsrate und einer Desorptionsrate ein. Je nachdem, welcher Vorgang überwiegt, spricht man dann von Absputtern oder Aufsputtern. Sputterätzen wird in der Halbleiterblocktechnik als anisotropes Ätzverfahren verwendet. Anstelle eines Gleichspannungs-Sputterns (DC sputtering) wird meist eine Wechselspannungsmethode (RF sputtering) eingesetzt, wobei auch nichtleitendes Substratmaterial verwendet werden kann. Liegt die positive Halbwelle der Hochfrequenz am Target an, so werden die Elektronen im targetseitigen Dunkelraum beschleunigt. Dabei ionisieren sie Argonatome und gelangen auf das Target. In der nachfolgenden negativen Halbwelle gelangen jedoch kaum positive Ar-Ionen dorthin, wenn die Frequenz hoch genug ist (> 3 MHz). Ursache ist eine sehr unterschiedliche Beweglichkeit von Elektronen und Ionen. Dadurch ergibt sich ein Diodeneffekt. Wegen der unterschiedlich großen Elektrodenfläche von Katode (Target) und Anode (Sustrathalter und Rezipient) lädt sich die ungeerdete Elektrode (Target) stark mit einer Gleichspannung auf, weshalb dieses Verfahren auch Self-Bias-Methode heißt. Dies führt dazu, daß vermehrt Argon-Ionen das Target und nicht das Substrat erreichen. Die Gleichspannung ist nahezu gleich der Amplitude der angelegten HF- Spannung (üblicherweise 2-3 kv).

32 Seite 32 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Abbildung 9: Schematischer Aufbau einer RF-Sputtering-Anlage [6] Anstelle des Argongases kann in den Rezipienten auch ein Prozeßgas (unter geringem Druck) eingeleitet werden. Zu der physikalischen Komponente des Sputterns kommt dadurch noch eine chemische. Anwendung finden solche kombinierten physikalisch/chemischen Verfahren als Trockenätzverfahren. Trockenätzverfahren, die wie das Sputtern einen Parallel-Platten-Reaktor verwenden sind: - Plasmaätzen. Statt Argon wird ein Prozeßgas gewählt, das in der Gasentladung chemische Radikale bildet, z. B. CF 4, das in CF 3 *,F * und ein Elektron zerfällt. Das zu ätzende Substrat wird zudem auf der größeren der beiden Elektroden angeordnet, an welcher der geringere Spannungsabfall vorhanden ist 15. Zudem wird der Gasdruck mit 0,1 bis 1 mbar relativ hoch gewählt. Da so die Energie der auf das Substrat auftreffenden Ionen reduziert ist, überwiegt die chemische Komponente, das Ätzen ist normalerweise isotrop, es wird aber eine ausgezeichnete Selektivität erreicht. - Reaktives Ionenätzen (RIE). Im Gegensatz zum Plasmaätzen wird das zu ätzende Substrat auf der kleineren der beiden Elektroden aufgebracht 16 und der Gasdruck wird mit 10-3 bis 10-2 mbar recht niedrig gewählt. Die Energie der auf dem Substrat auftreffenden Ionen ist relativ hoch, am Ätzvorgang sind durch die Gasentladung entstandene reaktive, neutralen Radikale, reaktive Ionen und bei Zugabe eines Inertgases auch inerte Ionen beteiligt. Beim Reaktiven Ionenätzen sind an dem Ätzvorgang deshalb verschiedene Komponenten beteiligt, dabei überwiegen physikalische Vorgänge. 2.8 Welche elektrochemischen Verfahren der Schichterzeugung bzw. -umwandlung kommen in der Dünnschichttechnik zum Einsatz? Erläutern Sie mindestens zwei, nach Zweck und Verfahren unterschiedliche Beispiele. Die galvanische Abscheidung von Metallen wird in der Dünnschichttechnik verwendet, um eine Metallschicht auf einer vorhandenen durchgängigen Metallschicht abzuscheiden. Dabei wird die galvanische Abscheidung praktisch nur zur Verstärkung einer durch Aufdampfen erzeugten Leitschicht verwendet. (Die Leitschicht wird nachträglich durch Ätzen strukturiert; vor dem galvanischen Verstärken der Metallschicht kann bereits eine Galvanomaske aufgebracht sein, um nur bestimmte Flächenbezirke zu verstärken und um ein Differenzätzen zu ermöglichen. Siehe Aufgabe 2.11.) Die Vorgehensweise gleicht dabei dem in der Leiterplatterfertigung eingesetzten Verfahren zur galvanischen Abscheidung (siehe auch Aufgabe 1.18): Das als Kathode geschaltete Substrat und eine weitere Metallelektrode werden in ein Elektrolyt getaucht, durch den fließenden Gleichstrom wird die Metallschicht auf dem (leitenden) Substrat abgeschieden. 15 Das ist beim Sputtern mit Argongas diejenige Elektrode, auf der das Substrat beschichtet, nicht abgeätzt wird. 16 Wie man es auch beim Spütterätzen macht.

33 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite 33 Die Anodische Oxidation ist neben dem Lasertrimmen das einzige Trimmverfahren, das in der Dünnschichttechnik (Ta-Dünnschichttechnik) eine gewisse Bedeutung erlangt hat [5]. Durch die Anodische Oxidation wird ein Teil der Widerstandsschicht (TaN) in Tantaloxid umgewandelt. Dies geschieht, indem ein wässriges Gel als Elektrolyt auf den Widerstand gedruckt wird. Dabei ist von den Kontakten des Widerstandes ein gewisser Mindestabstand einzuhalten. Die oberste Widerstandsschicht wird durch den durch die Elektrolyten geleiteten Strom umgewandelt. Dabei verringert sich die Dicke der elektrisch leitenden Widerstandsschicht und der Widerstandswert steigt an. Oxidations- und Meßstrom müssen alternierend geschaltet werden, da der Oxidationsstrom die Messung verfälschen würde. Für die Anodische Oxidation von Widerständen gibt es heute automatische Trimmsysteme. Die erzielbaren Widerstandsgenauigkeiten liegen bei 0,01% [5]. Abbildung 10: Schema der Anodischen Oxidation von Dünnschicht- Widerständen [5] Die Anodische Oxidation kann auch verwendet werden, um in der Ta-Technik aus Tantal eine Tantalpentoxid-Schicht (Ta 2 O 5 ) als Dielektrikum von Dünnschicht-Kondensatoren herzustellen. 2.9 Dünne Schichten können während und nach ihrer Abscheidung strukturiert werden. Welchen Verfahren der Leiterplattenfertigung entspricht dies? Erläutern Sie jeweils zwei Beispiele. Der Strukturierung einer Schicht nach ihrer Abscheidung entspricht in der Leiterplattenfertigung die Strukturierung der Kupferschicht bei den Subtraktivverfahren. Beispiele hierfür sind: - die Metallresist-Technik (Strukturierung einer vorher auflaminierten Kupferschicht durch galvanisches Verstärken der Kupferschicht mittels einer Galvanomaske und anschließenden Ätzens mittels einer als Ätzresist dienenden Zinn- oder Zinn/Blei-Schicht). - die Tenting-Technik (Strukturierung einer vorher auflaminierten Kupferschicht durch Ätzens mittels eines als Ätzresist dienenden Feststoffresists). Der Strukturierung einer Schicht während ihrer Abscheidung entspricht in der Leiterplattenfertigung die Strukturierung der Kupferschicht bei den Additivverfahren und auch die Strukturierung der Zinnoder Zinn/Blei-Schicht während ihrer Abscheidung bei der Metallresist-Technik. (Siehe auch Aufgabe 1.24 und 1.26.) 2.10 Vergleichen Sie die Strukturierung dünner Schichten mittels Haft- und Wechselmasken. Wie werden Wechselmasken mit ausreichender Stabilität und Genauigkeit hergestellt und welche Toleranzprobleme sind dabei zu beachten? Als Wechselmasken werden dünne Metallfolien verwendet, in die die gewünschten Strukturen geätzt sind. Substrat und Maske werden direkt oder annähernd in Kontakt gebracht, um eine möglichst scharfe Wiedergabe der Strukturen zu erzeugen. Dieses Verfahren ist sowohl beim Sputtern als auch beim Aufdampfen anwendbar, liefert aber im Aufdampfprozeß wegen der geradlinigen Bewegung der Atome bessere Ergebnisse. Durch die Wechselmaske werden Teile des Teilchenstromes beim Aufdampfen ausgeblendet, wodurch sich die durch die Durchbrüche in der Maske gegebene Struktur auf die Schicht überträgt. Aufgrund des Abstandes zwischen Maske und Substrat, der Dicke der Maske und der nichtparallelen Bewegung der Teilchen des verdampften Beschichtungsmaterials ergibt sich eine Kantenunschärfe. Die minimale Strukturbreite ist durch die Maske begrenzt und liegt

34 Seite 34 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik bei ca. 20 µm. Der wesentliche Vorteil dieser Technik ist die geringe Zahl von Prozeßschritten (nur Vakuumprozeß, keine Fotolithographie und Ätztechnik). Nachteile sind geringere Durchsatzrate, aufwendige Anlagen [5]. a) b) Abbildung 11: Bedampfung über Wechselmasken [4], a) Prinzip (1 aufgedampfte strukturierte Schicht; 2 Substrat; 3 Maske; 4 Dampfstrom; 5 Verdampfungsgut; 6 Tiegel), b) Kantenunschärfe (1 Bereiche der Kantenunschärfe; 2 Substrat; 3 Maske; 4 Verdampfungsgut; 5 Tiegel) Um einerseits den Wechselmasken die notwendige Stabilität zu verleihen und andererseits die den Dampfstrom begrenzenden Kanten möglichst dünn zu halten, fertigt man die Masken aus mehreren Zehntel Millimeter dickem Material und erzeugt in der Umgebung der Kanten die erforderliche geringe Dicke (z. B. durch Zweiseitenätzung oder durch Verwendung von Mehrschichtfolien) [4]. Abbildung 12: Herstellung von Bedampfungsmasken [4], a) Zweiseitenätzung (1 1. Ätzrichtung; 2 2. Ätzrichtung; 3 Form nach dem 2. Ätzprozeß; 4 Form nach dem 1. Ätzprozeß), b) Verwendung einer Mehrschichtfolie (1 Cu-Legierung 0,2 mm; 2 Ni 10 µm) In der Lift-Off-Technik (als Verfahren mit Haftmaske) wird das Substrat erst mit Fotolack beschichtet, der durch Belichten und Entwickeln strukturiert wird. Die gewünschten Strukturen bleiben frei. Anschließend erfolgt die ganzflächige Metallisierung. Im letzten Schritt wird der Fotolack mit der aufliegenden Metallisierung entfernt (lift off). Dadurch bleiben nur die gewünschten Strukturen stehen, ein zusätzliches Ätzen ist nicht erforderlich. Dieses Verfahren kann nur bei dünnen Metallisierungen und bei Substratmaterialien mit sehr glatten Oberflächen angewandt werden. Es bietet den Vorteil, daß im Gegensatz zur Wechselmaskentechnik sehr steile Kanten und eine hohe Strukturauflösung erzielt werden können [5] Beschreiben Sie, wie man auf einem Substrat, das mit einer geschlossenen Widerstands- und einer Leitschicht bedeckt ist (Sandwichplatte), ein Widerstandsnetzwerk realisieren kann. Warum wird häufig mindestens noch eine dritte, elektrisch funktionslose Zwischenschicht benötigt und was ergibt sich daraus für das Strukturierverfahren? Die verschiedenen Schichten, wie Widerstands-, Haft-, Diffusions- und Leitschicht, werden jeweils ganzflächig auf dem Substrat im Vakuum abgeschieden. In einer Reihe von Fotolithographie- und Ätzschritten werden dann die einzelnen Strukturen erzeugt. Beispiel für ein Dreischichtsystem NiCr-Ni-Au: Im ersten Schritt wird Fotolack aufgebracht und durch die Wechselmaske für die Leiterbahnen hindurch belichtet. Nach dem Entwickeln der Fotolackschicht sind die Leiterbahnzüge freigelegt, diese Flächen werden galvanisch mit Gold verstärkt und der restliche Fotolack entfernt. Es folgt eine ganzflächige Ätzung (Differenzätzen) des Substrates mit einer Au-Ätze, d. h. diese Ätzlösung greift nur Gold an, keine anderen der verwendeten Metalle. Dabei wird die ca. 200 nm dicke Au-Schicht entfernt. Anschließend muß die als Haft- und Diffusionssperrschicht dienende Ni-Schicht mit einer nur Ni angreifenden Ätze enternt werden. Ein weiterer Fotolithographieschritt ist nötig, um die

35 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite 35 Widerstandsflächen mit Fotolack abzudecken und mit einer NiCr-Ätze die Widerstände zu strukturieren. Nach Entfernen des Fotolackes wird die Schaltung zur Stabilisierung der Widerstände getempert, z. B. 300 C für 3 Stunden. In dieser Technik können auf Al 2 O 3 -Keramik Linienbreiten bis zu 20 µm erreicht werden. Meistens ist die Linienbreite jedoch 50 µm und größer. Auf glatten Oberflächen (z. B. Glas, Si) können Strukturen von einigen Mikrometern Breite hergestellt werden [5]. Abbildung 13: Schematische Darstellung der Strukturerzeugung von Leiterbahnen und Widerständen [5] Die in dem Beispiel dritte, elektrisch funktionslose Ni-Schicht besitzt zwei Funktionen: - Haftschicht. Bei Lötprozessen diffundiert das Gold sehr schnell in das Lot, so daß die darunter liegende Ni-Schicht als eigentliche Haftschicht für das Lot dient. - Diffusionssperrschicht. Die Ni-Schicht verhindert auch eine Diffusion zwischen der NiCr- Widerstandsschicht und der Au-Leitschicht, um eine Erhöhung des Schichtwiderstandes der Widerstandsschicht zu verhindern Stellen Sie einen technologisch-ökonomischen Vergleich zwischen der Elektronen- und Laserstrahlbearbeitung dünner Schichten an. Wie werden mit diesen Verfahren Widerstände abgeglichen bzw. aus einem bestimmten Flächenelement unterschiedlichste Widerstandswerte realisiert? Für die Elektronenstrahlbearbeitung wird ein Elektronenstrahl (der von einer Glühkatode stammt) durch geeignete Magnetfelder gebündelt und abgelenkt. Dabei erreicht man durch die Fokussierung Bearbeitungslinien von 15 µm Breite über ein Bearbeitungsfeld von 20 mm mal 30 mm. Für besondere Bearbeitungsfälle ist eine Spurverbreiterung auf µm möglich. Durch das für das Verfahren nötige Vakuum erhöht sich der anlageseitige Aufwand beträchtlich: im Vakuum müssen sich auch ein von Schrittmotoren angetriebener Kreuztisch, der die Substrate aufnimmt, und mindestens zwei

36 Seite 36 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik unabhängig voneinander steuerbare Kontaktsätze befinden. Über diese Kontaktsätze wird während des fortschreitenden Abgleiches eines Dünnschichtwiderstandes der Widerstand gemessen (Vierpunkt-Messung). Die abzugleichenden Dünnschichtschaltungen werden in Magazinen in einem automatischen Transportsystem durch die Anlage bewegt, welches auch die stufenweise Evakuierung des Transportkanals bewerkstelligt. In der Dünnschicht wird eine isolierende Trimmkerbe erzeugt, indem der Auftrittspunkt des Elektronenstrahls auf dem Substrat durch die magnetische Ablenkung mit konstanter Geschwindigkeit gesteuert wird. Dabei wird durch die kinetische Energie der auftreffenden Elektronen auf die momentan bestrahlte, räumlich eng begrenzten Fläche des Substrates die Dünnschicht soweit erhitzt, daß sie schmilzt und sich zu den Rändern der Trimmkerbe hin zurückzieht, oder aber auch teilweise verdampft. Dabei erreicht das Elektronenstrahl-Verfahren eine relativ hohe Bearbeitungsgeschwindigkeit von m/s. Abbildung 14: Abtragemechanismus bei der Elektronenstrahlbearbeitung [15] Bei der Laserstrahlbearbeitung werden grundsätzlich gepulste Laser eingesetzt. Da die Widerstandsschicht die Laserstrahlung sehr gut absorbiert, führt die durch das Laserlicht zugeführte Energie zu einer starken Erhitzung der Widerstandsschicht. Auf der dem Laserstrahldurchmesser entsprechenden Kreisfläche verdampft die Widerstandsschicht. Der Laserstrahldurchmesser liegt im typischen Bereich von µm. Zur Erzeugung einer kontinuierlichen Trimmkerbe ist das Aneinandersetzen und Überlappen vieler solcher Kreisflächen erforderlich. Die außerhalb der Trimmkerbe befindliche Widerstandsschicht soll dabei möglichst wenig thermische Belastung erfahren, um Widerstandseigenschaften nicht negativ zu beeinflussen. Dies läßt sich mit einer möglichst niedrigen Durchschnittsleistung, aber möglichst hohen Spitzenleistung des Laser erreichen. Typische Pulsfrequenzen des Lasers liegen bei etwa 3 khz, die Bearbeitungsgeschwindigkeit liegt in der Größenordnung von 100 mm/s. Die Bearbeitungsgeschwindigkeiten liegen bei der Laserstrahlbearbeitung viel niedriger als bei der Elektronenstrahlbearbeitung, bei der Laserstrahlbearbeitung wird jedoch kein Vakuum benötigt, weshalb der anlageseitige Aufwand für die Laserstrahlbearbeitung wesentlich geringer als bei der Elektronenstrahlbearbeitung ist. Wegen des hohen gerätetechnischen Aufwandes wird die Elektronenstrahlbearbeitung in der Regel nicht zum Abgleich von Dünnschichtwiderständen verwendet, sondern die Laserstrahlbearbeitung (Lasertrimmen) ist das Standardverfahren. Die Elektronenstrahlbearbeitung wird aber in einer

37 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite 37 speziellen Form der Dünnschichttechnik eingesetzt, bei der aus einer großflächigen Widerstandsschicht ein Widerstandsnetzwerk durch isolierenden Einschnitte strukturiert wird. Abbildung 15: Arbeitsschritte bei der Elektronenstrahlstrukturierung [4], a) Substrat mit FeNi-Kontaktschicht (1) und geschlossener NiCr- Widerstandsschicht (2), b) Aufteilung der Widerstandsschicht in einzelne Widerstände und Vorabgleich (3 Trennlinien, 4 Strukturierung und Vorabgleich), c) Feinabgleich der Widerstände (5 Abgleichspuren des Feinabgleiches, 6 Einzelschüsse zum Feinstabgleich) Bei der Strukturierung von unterschiedlichen Widerständen aus einem Flächenelement wird die Strahlführung des Elektronenstrahls so organisiert, daß die zunächst geschlossene Widerstandsschicht in einzelne Widerstandsbereiche getrennt wird. Die exakte Isolation der einzelnen Widerstandsbereiche voneinander wird während des Bearbeitungsvorganges automatisch geprüft, da eventuelle Brücken zwischen den Widerstandsbereichen schwer lokalisierbare Fehler bei den Widerstandsmessungen während der Bearbeitung hervorrufen. Danach erfolgt die Strukturierung (Mäandrierung) der Widerstände und der Vorabgleich, worauf sich der Feinabgleich anschließt. Während des Abgleichvorganges werden die Widerstände mittels Meßspitzen angetastet. Die gemessenen Werte werden bei der Steuerung des Elektronenstrahls berücksichtigt. Da der Elektronenstrahl (aufgrund des Stromflusses) die Meßwerte beeinflußt, muß beim Abgleich ein iteratives Abgleich-/Meßverfahren angewendet und dafür gesorgt werden, daß die durch den Elektronenstrahl in die Schicht eingetragenen Elektronen zur Gerätemasse abfließen können, da sonst durch Aufladungen unerwünschte Ablenkungen des Elektronenstrahls hervorgerufen werden können [4]. Ein Nachteil von durch Elektronenstrahlbearbeitung aus einem Flächenelement strukturierten Dünnschicht-Schaltung sind die relativ großen parasitären Kapazitäten an den isolierenden Kerben Welche Verfahren des kollektiven Widerstandsabgleichs kennen Sie? Wodurch wird im Unterschied zum individuellen Abgleich hier der Schichtwiderstand verändert und in welche Richtung kann das geschehen? Alle kollektiven Abgleichverfahren sind stoffbeeinflussende bzw. stoffverändernde Prozesse. Im Unterschied zum inidividuellen Abgleichs werden beim kollektiven Abgleich die Widerstände durch Änderung des spezifischen Widerstandes und damit des Flächenwiderstandes einer vorhandenen Schicht geändert. Ein Verfahren für Dünnschichtwiderständen ist dietemperung in oxidierender oder nitrierender Atmosphäre.

38 Seite 38 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Wie alle Methoden des Abgleiches (individuell und kollektiv) führt auch diese Methode zu einer Widerstandserhöhung [4] Welche Materialien und geometrische Formen findet man bei Dünnschichtwiderständen? Wie kann der Flächenwiderstand der bekanntesten Widerstandsmaterialien variiert werden? Wie kommt es zur R-Drift? Die bevorzugten Materialien für Dünnschichtwiderstände sind NiCr in verschiedenen Zusammensetzungen (z. B. 80 : 20, 60 : 40, 50 : 50) und Ta 2 N. Da die Flächenwiderstände zwischen 10 und einigen 100 OLHJHQVLQGQXU:LGHUVWDQGVZHUWHXQWHU0 PLWHLQHPVLQQYROOHQ Flächenbedarf zu realisieren [5]. Höhere Flächenwiderstände (bis ca. 1N Nönnen mit Cermet- Schichten (CrSiO) oder SnO 2 oder auch anderen Metalloxiden erzielt werden. Bei einem gegebenen Schichtwerkstoff bestimmt sich der Flächenwiderstand R F (vgl. Aufgabe 2.4) aus der Schichtdicke d und dem spezifischen Widerstand ρ, der seinerseits durch die Art der Prozeßführung beeinflußt wird. Bezüglich der Schichtdicke wird der Flächenwiderstand RF nach oben durch die Substrateinflüsse und die extreme Dickenabhängigkeit bei sehr dünnen Schichten beschränkt. Eine Erhöhung von RF ist jedoch durch den Einbau von Sauerstoff in die Schicht unter Nutzung einer teilreaktiven Prozeßführung möglich. Auch hierbei gibt es Grenzen, die daraus resultieren, daß durch die reaktive Prozeßführung auch die anderen Schichteigenschaften, wie TK und Langzeitstabilität, beeinflußt werden. Nach unten wird der Flächenwiderstand durch die bei dicken Schichten deutlich hervortretenden thermomechanischen Spannungen im Schichtsystem einschließlich Substrat und durch Probleme bei der Weiterverarbeitung (Strukturierung, Abgleich, Kontaktierung) begrenzt [4]. Der Flächenwiderstand einer Widerstandsschicht läßt sich also durch die Schichtdicke und Oxidation bei der Abscheidung der Widerstandsschicht beeinflussen, wobei die Variationsbreite bei gegebenen Schichtmaterial auf einen bestimmten Faktor begrenzt ist, bei NiCr beispielsweise etwa 10 2 und bei Cermet etwa Abbildung 16: Formen von Widerständen [4] (1 Leiterbahn, 2 Widerstandsschicht, 3 Trimmkerbe), a) U-Form, b) Winkelform, c) Hut-Widerstand (als Ersatz für sehr lange Widerstände), d) 3-Seiten-Kontakt-Widerstand (für rauscharme Widerstände, da sich Rauschindex beim Abgleich nach dem Knick der Trimmkerbe nicht mehr verändert) Die geometrischen Formen der Widerstände in der Dünnschichttechnik sind die gleichen wie auch in der Dickschichttechnik. Die einfachste Form ist ein rechteckiger, bandformiger Widerstand. Als Ersatz für geometrisch lange, bandförmige Widerstände können andere Formen wie die U-Form, Winkelform oder Hut-Form verwendet werden. Diese Widerstände können durch Bearbeiten der Trimmkerbe

39 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite 39 kontinuierlich getrimmt werden. In der Dünnschichttechnik werden auch Widerstandsanordnungen angewendet, die diskret, d. h. in Stufen, getrimmt werden. Abbildung 17: Diskret trimmbarer Dünnschicht-Widerstand [5] 2.15 In welcher Dicke und Länge muß eine NiCr-Legierung mit einem spezifischen :LGHUVWDQGYRQ = 1,3Â -4 FPDXIJHGDPSIWZHUGHQXPHLQHQ)Oächenwiderstand von 150 XQGHLQHQ*HVDPWZLGHUVWDQGYRQN ]XUHDOLVLHUHQZHQQGLHPLQLPDO erreichbare Bahnbreite 500 µm beträgt? Der Flächenwiderstand ist (vgl. Aufgabe 2.4) Die Schichtdicke ist somit Der Widerstand ist R F s = ρ = s ρ. R F 4 1,3 10 Ωcm s = 150 Ω 7 s = 8,67 10 cm s = 8, 67 nm. l R = R F b R l b =. Unter Ausnutzung der minimal erreichbaren Bahnbreite (damit der Widerstand möglichst kurz wird, also möglichst wenig Substratfläche einnimmt) ist die Länge des Widerstandes: R F 1000 Ω l = 0,5 mm 150 Ω l = 3, 33 mm Wie und mit welchen Materialien können in der Dünnschichttechnik Leitungen, Induktivitäten und Kapazitäten realisiert werden? Für die Leiterbahnen und Induktivitäten kommen als Metallisierungsschichten Gold, Kupfer, Aluminium und Silber zum Einsatz. Manche benötigen zusätzliche Haftschichten, für die verschiedene Elemente wie z. B. Chrom, Nickel, Titan oder Tantal verwendet werden. Die größte Bedeutung als leitende Metallisierung hat Gold, weil es auch bei hohen Temperaturen nicht oxidiert und für viele Hybrid- Montageprozesse gut geeignet ist. Kupfer wird vor allem wegen seiner guten Leitfähigkeit eingesetzt. Um es vor Korrosion zu schützen, wird die Oberfläche mit einer oder mehreren dünnen Edelmetallschichten vergütet. Die oberste Schicht ist dabei meistens Gold [5].

40 Seite 40 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Induktivitäten können in der Dünnschichttechnik nur als ebene Luftspulen ausgeführt werden. In vertretbarer Größe realisierbare Induktivitäten sind nur wenige 100 nh, die Güte ist meist unter 100. Die Herstellung von integrierten Kondensatoren ist in der Dünnschichttechnik zwar möglich, wird aber wegen des schwer zu beherrschenden Prozesses nur selten angewandt. Prinzipiell werden bei Dünnschicht-Kondensatoren mehrere Schichten übereinander aufgebracht, ein Dielektrikum zwischen zwei Leitschichten. Als Dielektrika werden folgende Materialien verwendet: SiO, SiO 2,Ta 2 O 5,TiO 2 und Al 2 O 3. Die häufigste Verwendung findet dabei SiO als Dielektrikum mit Au-Elektroden und Ta 2 O 5 mit Ta- und Au-Elektroden. (Im letzteren Fall wird die Tantaltechnologie angewandt.) a) b) Abbildung 18: a) Schichtaufbau eines Dünnschicht-Kondensators [5], b) Struktur von ebenen Luftspulen [5] 2.17 Charakterisieren Sie die beiden wichtigsten Varianten zur Herstellung integrierter Dünnschichtschaltungen durch die verwendeten Widerstandsmaterialien und Dielektrika sowie die dazu passenden Methoden der Schichtherstellung und Strukturierung (tabellarische Darstellung). Schicht NiCr-Technik Ta-Technik Widerstandsmaterial NiCr Ta 2 N Schichterzeugung ganzflächiger Auftrag durch Verdampfen oder Aufsputtern ganzflächiger Auftrag durch Verdampfen oder Aufsputtern Strukturierung Dielektrika (Isolationsschichten) Schichterzeugung Strukturierung Fotolithographie und naßchemisches Abätzen, Elektronenstrahlbearbeitung SiO, SiO 2, Polymere (z. B. Polyimid) bei SiO und SiO 2 Aufdampfen, bei SiO 2 auch Aufsputtern, bei Polymeren ganzflächiger Auftrag des noch nicht polymerisierten Stoffes 17 (bei Polyimid in einer für gebräuchliche Lösungsmittel löslichen Polyimidvorstufe) bei SiO und SiO 2 Strukturierung durch Maske beim Aufdampfen bzw. Aufsputtern, bei Polymeren Belichtung mit UV- Licht, wodurch das Polymer vernetzt (polymerisiert) wird, unbelichtete Stellen werden als Entwickelprozeß anschließend ausgewaschen (bei Polyimid führt ein nachfolgende Temperung zur Imidisierung der Polyimidvorstufe) Fotolithographie und naßchemisches Abätzen Ta 2 O 5 Aufsputtern Strukturierung durch Maske beim Aufsputtern 17 Dieses Verfahren stammt aus der Dickschichttechnik. Nach [4] ist jedoch eine integrierte Schaltung nach NiCr-Technik, die aus Polymeren hergestellte Dielektrika besitzt, als Dünnschicht-Schaltung anzusehen, obwohl sie bereits eine Mischtechnologie darstellt.

41 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite Schlagen Sie je eine Fertigungstechnologie für ein R- und ein RC-Netzwerk in Dünnschichttechnik vor. Ein R-Netzwerk läßt sich am einfachsten mit einem Zweischicht-System herstellen, beispielsweise in NiCr-Technik. Dabei wird auf ein isolierendes Substrat zunächst eine geschlossene Widerstandsschicht NiCr und eine geschlossene Leitschicht Au aufgedampft. Ein Fotolack wird auf die oberste Schicht aufgetragen, mit der Arbeitskopie für die Leitschicht belichtet und entwickelt. Die freiliegenden Flächen der Leitschicht werden galvanisch verstärkt (mit Gold), der Fotoresist entfernt, und die nicht galvanisch verstärkten Flachenbezirke der Leitschicht werden abgeätzt (Differenzätzen). Durch die Selektivität der verwendeten Au-Ätze wird die nun freiliegende NiCr-Widerstandsschicht nicht angegriffen. In einem weiteren Fotolithographieschritt wird die Widerstandsschicht durch Fotolack abgedeckt, durch die Arbeitskopie für die Widerstandsschicht belichtet und entwickelt. Die freiliegenden Fächen der NiCr-Widerstandsschicht wird mit einer Ätze abgeätzt, die nur das NiCr, aber nicht das Gold angreift. Das Widerstandsnetzwerk ist somit strukturiert. (In Aufgabe 2.11 ist die Strukturierung eines ähnlichen Dreischicht-System beschrieben.) Zu beachten ist, daß aufgrund der fehlenden Haft- und Diffusionsschicht unter der Gold-Leitschicht dieses R-Netzwerk nicht durch Löten kontaktiert werden sollte, sondern nur durch Bonden (mit Golddrähten). Abbildung 19: R-Netzwerk als Zweischicht-System in NiCr-Technik [15] Ausgehend von dem beschriebenen R-Netzwerk läßt sich ein RC-Netzwerk durch zusätzliche, strukturiert aufgedampfte Schichten herstellen. Durch diese Schichten werden Dünnschicht- Kondensatoren gebildet, eine der Schichten ist eine dielektrische Schicht. Zunächst wird eine Diffusionsschutzschicht, die die für den Kondensator vorgesehene Fläche rahmenförmig umschließt (zweigeteilt in Segmente), aufgedampft. Diese Schicht ist aus NiCr oder Ni und wirkt als Diffusionssperrschicht zwischen der goldenen Leitschicht des bisher strukturierten R- Netzwerkes und der später aufgedampften Al-Schicht. Die erste Al-Schicht bildet eine Elektrode des Kondensators und wird direkt auf das Substrat aufgedampft (an diesen Stellen wurde bei der vorherigen Strukturierung des R-Netzwerkes auch die Widerstandsschicht weggeätzt), seitlich einen elektrischen Kontakt zu einem der Segmente der Diffusionssperrschicht herstellend. Anschließend wird die dielektrische Schicht (SiO oder SiO 2 ) aufgedampft und ähnlich der ersten Al-Schicht eine zweite Al-Schicht, die die Gegenelektrode des Kondensators bildet. Abbildung 20: RC-Netzwerk in NiCr-SiO-Aufdampftechnik [15] Dickschichttechnik 2.19 Erläutern Sie das Verfahrensprinzip der Dickschichttechnik und deren Vor- und Nachteile gegenüber der Dünnschichttechnik. Das Grundprinzip der Dickschichttechnik besteht darin, daß siebdruckfähige Pasten unterschiedlicher elektrischer Funktion über strukturierte Druckformen (Siebe) auf einem Substrat nacheinander aufgebracht und eingebrannt werden. Vorteile der Dickschichttechnik gegenüber der Dünnschichttechnik sind:

42 Seite 42 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik - viel geringerer technologischer Aufwand als bei der Dünnschichttechnik, - Mehrlagentechnik ist problemlos möglich - niedrigere Flächenwiderstände der Leiterbahnen erlauben eine größere Stromstärke - größere Schichtdicken der Leiterbahnen ermöglichen auch größere Wellenwiderstände, - Verfahren sind gut automatisierbar Dem stehen aber auch Nachteile der Dickschichttechnik gegenüber: - eingeschränkte Linienauflösung gegenüber der Dünnschichttechnik - die Dicke der erzeugten Schichten hat eine relativ große Toleranz, deshalb sind mit der Dickschichttechnik hergestellte Widerstände (ohne Abgleich) wesentlich gröber toleriert als mit der Dünnschichttechnik hergestellte Widerstände 2.20 Erläutern Sie das Aufbringen von Schichtstrukturen durch Siebdrucktechnik. Gehen Sie insbesondere auf Druckverfahren, Drucksiebe und deren Strukturierung sowie verfahrenstypische Toleranzprobleme ein. Beim Siebdrucken wird eine viskose Paste mittels einer elastischen Rakel (Gummilippe) durch ein sehr feinmaschiges, an bestimmten Stellen offenes Gewebe (Sieb) gepreßt. Auf dem darunterliegenden Substrat entsteht ein Druckbild. Während vor dem Druckvorgang zwischen Sieb und Substrat ein gewisser Abstand (Siebabsprung) besteht, kommen beide durch den Druck der Rakel an einer Linie (Rakelkante) in Kontakt. Durch die Rakelbewegung wird die Paste entlang der Rakelkante in die Sieböffnungen gepreßt. Es werden alle Sieböffnungen mit Pastenmaterial gefüllt. Hinter der Rakel springt das Sieb, bedingt durch die Siebspannung, sofort ab und die Paste bleibt auf dem Substrat. Im Idealfall wird die Paste vollständig aus den Sieböffnungen gezogen. Abbildung 21: Schematische Darstellung des Siebdruckverfahrens [5] Beim Drucken muß eine möglichst gleichmäßige Schichtdicke und exakte Kantenschärfe erzielt werden. Diese Kriterien hängen von vielen Parametern ab: von der Siebdruckmaschine, vom Sieb, von der Paste und vom Substrat. Die Parameter für optimalen Druck können nur empirisch ermittelt werden.

43 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite 43 Abbildung 22: Kritische Beanspruchungszonen des Siebgewebes [5] Die Siebe werden in einem fotochemischen Verfahren maskiert, d. h. die nicht zum Druckbild gehörenden Flächen des Siebes werden dicht verschlossen. Dies kann in drei verschiedenen Verfahren geschehen: - Zur direkten Maskierung wird eine UV-lichtempfindliche Kunstsofflösung (Kopierlösung, Fotoemulsion) beidseitig auf das Siebgewebe aufgetragen. Nach der Belichtung durch die Arbeitskopie, bei der die belichteten Bereiche vernetzen, wird die Emulsion in einem als Entwicklung bezeichneten Spülprozeß aus den unbelichteten Bereichen mit Wasser ausgewaschen. - Bei der indirekten Maskierung wird die auf einer Trägerfolie befindliche, feste Fotoemulsion außerhalb des Drucksiebes belichtet und entwickelt und gemeinsam mit der Trägerfolie im nassen Zustand mit einer Rakel auf das Siebgewebe aufgequetscht. Nach dem Trocknen wird die Trägerfolie abgezogen. Die Druckauflagenbeständigkeit ist gering, da die Maskierschicht die Siebgewebefäden nicht umschließt. - Die direkt-indirekte Maskierung basiert auf der Verwendung einer festen Fotoemulsion auf einer Trägerfolie, die gemeinsam mit einer flüssigen Fotoemulsion gleicher Zusammensetzung auf das Siebgewebe übertragen wird. Die Belichtung und Entwicklung der Schicht erfolgt wie bei der direkten Maskierung im Drucksieb. Der vollständige Verbund der Maske mit dem Siebgewebe ergibt eine gute Druckauflagenbeständigkeit. Die durch Siebdruck aufgetragene strukturierte Schicht soll möglichst genau der Strukturierung der bei der Maskierung des Siebes verwendeten Arbeitskopie entsprechen. Abweichungen beim Siebdruck treten auf durch: - ein Sieb, das durch direkte Maskierung strukturiert wurde. Konkave Eintrocknungen der Emulsion in Richtung der Kontur verursachen Konturunschärfen (Treppeneffekt). Diese Konturunschärfe kann durch eine indirekt oder direkt-indirekt strukturierten Maske vermieden werden. - durch die beim Siebdruck auftretenden reversiblen Dehnungen des Siebgewebes verursachten Verzerrungen des Druckbildes. Durch bei der Rakelbewegung auftretenden Reibung zwischen Rakel und Sieb wird eine weitere Dehnung des Siebes verursacht. Die beschriebenen Dehnungen des Siebes während des Druckvorgangs haben mehr Einfluß auf die Lageabweichungen weit entfernt angeordneter Bildelemente als auf Formabweichung Wie sind allgemein Druckpasten der Dickschichttechnik zusammengesetzt und welche Funktion haben die einzelnen Komponenten? Nennen Sie Beispiele für funktionsbestimmende Komponenten in Widerstands-, Leit- und Dielektrikumspasten und erläutern Sie davon ausgehend das materialökonomische Hauptproblem der Dickschichttechnik. Die Dickschichtpasten bestehen aus anorganischen Pulvern, die mit einem pastösen organischen Trägermaterial vermischt sind. Die typischen Bestandteile einer Dickschichtpaste sind: - Lösungs- und Netzmittel, - organische Binder, - Zusätze zum Einstellen der rheologischen Eigenschaften, - Glaspulver. Je nach Verwendungszweck kommen zu dieser Grundmischung spezifische Pastenzusätze hinzu: - Metallpulver bei Leitpasten, - Metalloxide bei Widerstandspasten, - Glasfritte oder Keramiken bei Dielektrika.

44 Seite 44 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Die Lösungs- und Netzmittel sorgen für den pastösen, nicht festen Zustand beim Siebdruck der Paste. Die Organischen Binder wirken Entmischungserscheinungen in der Paste entgegen. Die Zusätze zum Einstellen der rheologischen Eigenschaften sorgen für ein thixotropes Verhalten der Paste. Der Effekt, daß sich die Viskosität einer Paste unter dem Einfluß von Scherkräften ändert, wird Thixotropie genannt. Während des Druckvorganges nimmt so die Viskosität der Paste aufgrund der durch die Rakelbewegung auftretenden Scherkräfte ab, und die Paste fließt dadurch leicht durch die Poren des Siebes und bleibt auf dem darunterliegenden Substrat haften. In der nun folgenden Ruhezeit nimmt die Viskosität der Paste wieder zu, während die zunächst übertragene Feinstruktur der Siebporen unter dem Einfluß der Oberflächenspannung verfließt. Sind die Parameter der Paste und des Siebdruckes optimal eingestellt, weist die Umrandung der gedruckten Struktur eine scharfe Kontur auf. Das Glaspulver sorgt bei der eingebrannten Schicht für deren Festigkeit und Schichthaftung zum Substrat. Leiterbahnen werden aus gut leitenden Materialien hergestellt. Die z. Zt. gebräuchlichsten Leitpasten bestehen aus Gold oder Silber, sowie Legierungen aus Gold oder Silber mit Platin oder Palladium. Dabei werden allerdings reine Silberpasten aufgrund der geringen Haft- und Korrosionsfestigleit selten eingesetzt, obwohl sie am preiswertesten sind. Durch den hohen Edelmetallpreis werden vermehrt Pasten, die auf Kupfer basieren, verwendet. Widerstandspasten müssen in ihren Parametern sehr genau kontrollierbar sein, da geringe geometrische Abweichungen beim Drucken einen großen Einfluß auf die Toleranzbreite der gewünschten Widerstandswerte haben. Die heute üblichen Widerstandssysteme bestehen aus: - Palladiumoxid/Silber, - Iridiumoxid/Platin, - Rutheniumoxid, - Ruthinate. Die dielektrischen Pasten können nach ihrem vorwiegenden Einsatzgebiet in folgende drei Gruppen eingeteilt werden: - Pasten für Schutzglasuren, - Pasten für Leiterbahnüberkreuzungen und Vielschichtschaltungen, - Pasten für Kondensatoren. Schutzglasuren sollten eine niedrige Dielektrizitätszahl aufweisen und bei niedrigen Temperaturen schmelzen. Sie werden dort eingesetzt, wo darunterliegende Strukturen vor Umwelteinflüssen geschützt werden sollen, z. B. bei Widerständen und Kondensatoren. Pasten für Leiterbahnüberkreuzungen und Vielschichtschaltungen unterscheiden sich von den Schutzglasuren nur durch einen höheren Schmelzpunkt. Pasten für Kondensatoren besitzen definierte Dielektrizitätszahlen (die höher als bei Schutzglasuren sind). Wegen der hohen Kosten der Edelmetallpasten (bei den Leitpasten) sucht die Industrie mit großem Aufwand nach billigeren Leitpasten. Kupferpasten haben eine hohe Leitfähigkeit, ausgezeichnete Lötbarkeit und gutes Ablegierverhalten gegenüber Zinn-Blei-Loten bei niedrigem Preis. Allerdings müssen sie gut kontrolliert getrocknet werden und benötigen zum Einbrennen eine reduzierende oder eine Stickstoff-Atmosphäre. Eine weitere interessante Variante sind die Resinat-Pasten. Resinate sind Salze von Harzsäuren, die in einem aromatischem Öl gelöst sind. Dickschicht-Schaltungen mit Gold- Resinaten haben nach dem Einbrennen eine nur 0,1 3 µm dicke Leiterbahnschicht (gegenüber µm bei anderen Pasten) Welche Vorgänge laufen beim Trocknen und Einbrennen aufgedruckter Dickschichtpasten ab? Warum müssen nacheinander aufgedruckte Pasten bei sinkenden Temperaturen eingebrannt werden? Nach dem Druck erfolgt eine Vortrocknung der Paste für ca. 10 Minuten bei Raumtemperatur. In dieser Zeit glättet sich die Paste an der Oberfläche und die zunächst sichtbare Maschenstruktur verläuft. Anschließend wird die Paste bei einer Temperatur zwischen 80 und 150 C getrocknet. Beim Trocknen werden die leicht flüchtigen Lösungsmittel langsam verdampft. Dadurch wird eine Riß- oder Blasenbildung durch schnell verdampfende Lösungsmittel beim nachfolgenden Brennen vermieden. Nach dem Trocknen sind mechanisch stabile Strukturen entstanden, die aber noch nicht die

45 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite 45 endgültigen elektrischen Eigenschaften aufweisen, d. h. eine Leiterbahn besitzt einen hohen Widerstandswert. Das Brennen der Schichten kann je nach Paste entweder für jede Schicht einzeln (Prefiring) oder für mehrere Schichten gemeinsam (Cofiring) erfolgen. Der Brennvorgang muß unter genauer Einhaltung des vom Pastenhersteller vorgeschriebenen Temperaturverlaufs geschehen und dauert standardmäßig etwa 60 min. Durch den Brennvorgang werden die noch enthaltenen schwer flüchtigen Lösungsmittel ausgebrannt (Burn-out), durch das Hochheizen zerfallen weiterhin in der Paste enthaltene Aktivatoren, bereiten die Oberfläche der Metallpartikel auf das spätere Zusammensintern vor und verdampfen dann aus der Paste. Bei Temperaturen über 800 C findet dann der Prozeß des Zusammensinterns der Metall- oder Metalloxidpartikel statt (Burn-in). Anschließend wird das Substrat abgekühlt, der Glasanteil erstarrt und bildet eine feste mechanische Verbindung zum Substrat. Die Strukturen weisen nun nach dem Brennen ihre entsprechenden elektrischen und physikalischen Eigenschaften auf. Nacheinander aufgedruckte und einzeln eingebrannte Schichten müssen mit pro Schicht (von unten nach oben) sinkenden Temperaturen eingebrannt werden, um beim Einbrennen der jeweils obersten Schicht darunterliegende Schichten nicht wieder aufzuschmelzen. Das setzt voraus, daß die in den verwendeten Pasten enthaltenen Glasanteile mit der Schrittfolge sinkende Schmelzpunkte haben müssen Warum müssen gedruckte und gebrannte Dickschichtwiderstände grundsätzlich individuell abgeglichen werden? Wie geschieht es und welche Verfahren werden dazu eingesetzt? Unter welchen Umständen ist ein individueller Abgleich nicht möglich und wie kann dann vorgegangen werden? Die Dickschichttechnik hat den Nachteil, daß die Schichtdicke der erzeugten Schicht (in Gegensatz zur Dünnschichttechnik) einer bestimmten Toleranz unterliegt, wobei auch zwei mit derselben Widerstandspaste aufgebauten Widerstände auf ein und demselben Substrat unterschiedliche Schichtdicken und damit unterschiedliche elektrische Flächenwiderstände haben können. Um alle Widerstände auf einem Substrat in einen vorgegebenen Toleranzbereich abzugleichen, genügt also kein kollektiver Abgleich, die Widerstände müssen einzeln ausgemessen und getrimmt werden. Die Methoden, die zum Abgleich von Widerständen verwendet werden, lassen sich in zwei Gruppen unterteilen: - Abtragen von Materialien, - Verändern der stofflichen Eigenschaften. In der Dickschichttechnik werden jedoch fast ausschließlich materialabtragende Verfahren zum individuellem Abgleich verwendet [5]. Die wichtigsten Verfahren sind: - Lasertrimmen, - Sandstrahlfräsen. Der Abgleich geschieht, indem aus der Widerstandsbahn Material derart abgetragen wird, daß sich der Querschnitt der Widerstandsbahn verringert und sich der elektrische Widerstand erhöht. a) b) c) d) e) f) Abbildung 23: Trimmschnitte zum Abgleich von Widerständen [5], a) P-Cut, b) L-Cut, c) L-Cut mit Shadow, d) P-Cut mit Shadow, e) Serpentine- Cut, f) Scan-Cut

46 Seite 46 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Durch den individuellen Abgleich kann nur ein Widerstand abgeglichen werden, dessen unabgeglichener Widerstandswert den Sollwert (d. h. den Toleranzbereich) unterschreitet. Sind die Widerstandswerte zu groß, dann kann die Widerstandsschicht möglicherweise durch nochmaliges Siebdrucken und Brennen so verstärkt werden, daß der Widerstandswert sinkt und danach ein Individualabgleich möglich wird 18. Hybridtechnik 2.24 Begründen Sie, warum in Schichtschaltungen nur selten Kondensatoren, Spulen und Transistoren integriert werden, sondern diese Funktionselemente meist als diskrete Bauelemente nachträglich eingebaut werden. Was versteht man unter Multichipmodulen? Die in der Dickschichttechnik realisierbaren Kondensatoren mit rechteckigen Elektroden sind Plattenkondensatoren aus einer oder mehreren Lagen Dielektrikum. Die erzielbaren Kapazitätswerte sind relativ gering und liegen in der Größenordnung von einigen pf bis zu einigen zehn nf. Die Hauptnachteile dieser gedruckten Kondensatoren sind die geringe Ausbeute und die schlechte Reproduzierbarkeit bei der Herstellung. Für die geringe Ausbeute ist die Bildung von kleinen Löchern (Pinholes) in der Dielektrikumsschicht verantwortlich, die sich unter Produktionsbedingungen nie ganz ausschließen läßt. In der Dünnschichttechnik ist die Herstellung von integrierten Kondensatoren zwar ebenfalls möglich, wird aber auch dort wegen des schwer zu beherrschenden Prozesses nur selten angewandt. Die Herstellung von integrierten Flachspulen ist nur in der Dünnschichttechnik möglich, wobei solche Spulen auf geringe Induktivitätswerte beschränkt sind. Die Herstellung von integrierten Spulen kann aber in Schaltungen für HF-Technik sinnvoll sein. Die Herstellung von integrierten, einfachen Halbleiterbauelementen ist in der Dünnschichttechnik möglich, die Eigenschaften der (polykristallinen) aktiven Dünnschicht-Bauelemente fallen jedoch gegenüber denen einkristalliner Bauelelemente zurück, zudem läßt die Langzeitstabilität von Dioden und bipolaren Transistoren oft zu wünschen übrig. Aus diesen Gründen ist die Bedeutung aktiver Dünnfilm-Bauelemente gering. In Spezialfällen werden jedoch Dünnfilm-Feldeffekt-Transistoren (TFT, thin film transistor) eingesetzt, wobei das den Leitungskanal bildende Material polykristallin ist. Die Eigenschaften sind ebenfalls schlechter als jene von einkristallinen FETs. TFTs werden in Flachbildschirmen eingesetzt (flat panels) [6]. Aus den aufgeführten Gründen werden Kondensatoren, Spulen und Halbleiterbauelemente in Hybridschaltungen oft als disktrete Bauelemente nach der Schichterzeugung und Strukturierung des Substrates bestückt. Ein Multichipmodul ist eine Hybridschaltung, bei der auf einem integrierten Schaltkreis in Schichttechnik (beispielsweise Dickschichttechnik) integrierte Schaltkreise in Halbleiterblocktechnik mit gegebenenfalls einigen diskreten, vorzugsweise passiven Bauelementen bestückt sind Was versteht man unter hybridgerechten Bauelementen? Welche speziellen Gehäuseformen wurden für Transistoren und integrierte Schaltkreise entwickelt und wie werden sie in Schichtschaltungen eingebaut? Hybridgerechte Bauelemente sind oberflächenmontierbar und besitzen kleine Abmessungen. Die für die SMD-Technik entwickelte Bauformen sind: - für passive Bauelemente quaderförmig (Chip) und zylindrisch (MELF: Metal Electrode Face Bonding), - für diskrete Halbleiter: SOT- und SOD-Gehäuse in verschiedenene Varianten (siehe Abbildungen), - für integrierte Halbleiterschaltungen Flat Pack, SO-Gehäuse (SO: Small Outline), Chip Carrier, Grid Array und Micropack. 18 Dieses Vefahren kann aber bestenfalls nur als Notlösung gelten.

47 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite 47 Abbildung 24: SMD-Gehäuse für diskrete Halbleiterbauelemente [5] a) SOT 23, b) SOT 143 Abbildung 25: SMD-Gehäuse für diskrete Halbleiterbauelemente [5] a) SOT 89, b) SOT 192 a) b) Abbildung 26: SMD-Gehäuse für ICs [5], a) SO-8-Gehäuse, b) Ausführungsformen von Flat Packs Diese Bauelemente werden durch Weichlöten auf das beschichtete Substrat aufgelötet, bei einer Serienproduktion durch Reflow-Verfahren. Als Lot wird Zinn-Blei verwendet (das beim Reflow- Verfahren u. a. neben Flußmitteln in der verwendeten Lötpaste enthalten ist). Eine Alternative bei integrierten Schaltkreisen der Halbleiterblocktechnik ist die Verwendung von ungehäusten Chips, sogenannte Naktchips, die direkt auf dem Substrat montert und mittels Bonddrähten mit der restlichen Schaltung verbunden werden (COB: Chip on Board) Was ist die extremste Miniaturisierungsvariante hybridgerechter Halbleiterschaltkreise und welche Montageverfahren kommen für sie zum Einsatz? Die extremste Miniaturisierungsvariante hybridgerechter Halbleiterschaltkreise ist deren Auslieferung durch den Hersteller der Bauelemente ohne Gehäuse, d. h. als Naktchip. Der Hersteller einer Hybridschaltung übernimmt damit einen Teil der Aufgaben, die normalerweise dem Hableiterhersteller obliegen: er muß den Die montieren, kontaktieren und mit einer geeigneten Methode vor Umwelteinflüssen schützen. Durch diese COB (Chip on Board)-Technik wird die Packungsdichte durch die für jeden IC benötigte extrem kleine Substratfläche enorm erhöht, die Zuvelässigkeit durch die geringe Anzahl an elektrischen Verbindungsstellen (gegenüber der Verwendung gehäuster ICs) gesteigert. Ebenfalls verbessert ist der Wärmewiderstand des Dies zur Umgebung, da der Die direkt auf dem Substrat der Hybridschaltung aufliegt. Dies ermöglicht eine höhere Verlustleistung, die durch eine Verwendung von

48 Seite 48 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik besonders gut wärmeleitenden Substratmaterialien (beispielsweise BeO-Keramik) anstelle der normalerweise verwendeten Al 2 O 3 -Keramik weiter gesteigert werden kann. Die Lieferung von Naktchips erfolgt wahlweise in verschiedenen Bearbeitungszuständen: - ungesägt (d. h. als Waferscheibe in einem Stück) und nicht getestet, - ungesägt und getestet, defekte Schaltungen (durch einen Farbklecks) gekennzeichnet, - gesägt und getestet, defekte Schaltungen gekennzeichnet, auf Folie aufgezogen. (In seltenen Fällen werden Naktchips auch zur manuellen Verarbeitung in Chip-Trays geliefert, in der sich nur funktionsfähige Chips, die alle gleich ausgerichtet sind, befinden.) Vom Hersteller der Hybridschaltung müssen diese ungehäusten Chips gegebenenfalls vor der Weiterverarbeitung noch getestet und vereinzelt werden 19. Die vom Hersteller der Hybridschaltung der Reihe nach auszuführenden Montageverfahren sind (bei der Chip and Wire-Technik): Die-Bonding. Dieser Arbeitsschritt dient der mechanischen Befestigung des Halbleiters auf dem Hybrid-Substrat. Neben der mechanischen Festigkeit wird von der Chip-Substrat-Verbindung thermische und meistens auch elektrische Leitfähigkeit gefordert, um Verlustleistungen abführen zu können bzw. Rückseitenkontaktierungen durchführen zu können (beispielsweise für den Substrat-Anschluß für das niedrigste in der Schaltung auftretende Potential im Falle von ICs, deren Einzelelemente durch pn-übergänge isoliert sind). Folgende Die-Bonding-Verfahren bieten sich an: Auf Substrate mit Goldmetallisierungen können Siliziumhalbleiterchips auflegiert werden (Eutectic-Die-Bonding). Substratmetallisierung und Halbleitermaterial werden angeschmolzen und legieren in der Schmelze miteinander. Die Legierung wirkt als Lot zwischen den Partnern. Die Voraussetzung, daß dafür nicht zu hohe Temperaturen nötig sind, ist die Existenz eines Eutektikums der beiden Werkstoffe. Die Verfahrenstemperatur des eutektischen Si-Au-Die- Bondens ist etwa 400 C. Der Siliziumkristall wird mit definiertem Druck auf die Metallisierung gedrückt, eine niederfrequente, reibende Bewegung des Kristalls unterstützt die Benetzung der Phasengrenzen und beschleunigt den Legierungsvorgang. Nach dem Legieren wird die Verbindung üblicherweise einige Stunden warm ausgelagert. Rückseitig metallisierte Chips können einfach auf dem Substrat aufgelötet werden. Dies mit geeigneter Metallisierung an ihrer Rückseite können auf dem Substrat aufgelötet werden. Gebräuchliche Metallisierungen der Dies sind Nickel, Titan/Silber und Gold. Verwendet wird die Reflowlöttechnik, als Lote kommen gewöhnliche Weichlote in Frage (d. h. beim Reflow-Verfahren als Lötpaste, die aus Flußmittel und Lot besteht). Kleben (Epoxy-Die-Bonding). Die Dies werden einfach mit einem Epoxidharz-Kleber auf dem Substrat aufgeklebt. Die verwendeten Kleber können (auf Kosten der mechanischen Stabilität) auch metallische Füllstoffe (Gold, Nickel, Kupfer in Pulverform) enthalten, damit eine elektrisch leitende Verbindung entsteht. Hierbei können jedoch keine (unmetallisierten) Dies mit einer Oberfläche aus (dotiertem) Silizium verwendet werden, da ein Schottky-Kontakt mit gleichrichtender Wirkung, also nichtohmischem Verhalten, entstehen würde. Mit leitendem Epoxy-Klebstoff aufgeklebte Dies sollten darum rückseitig metallisiert sein. Drahtbonden. Dieser Arbeitsschritt dient der Herstellung der elektrischen Verbindungen zwischen dem Chip und dem Hybrid-Substrat. Die dabei verwendeten Drähte sind überwiegend aus Gold oder Aluminiumlegierungen mit Durchmessern herab bis zu 10 µm. Alle Drahtbondverfahren haben gemeinsam, daß sie die Drähte nicht zum Zwecke der Verbindung aufschmelzen, sondern durch Einleitung von Druck, Wärme und Ultraschall-Energie die Oxidhäute der Drähte aufreiben und die Fügepartner (Draht-Kontaktfläche) in einen so engen Kontakt bringen, daß die van der Waals-Kräfte wirksam werden und eine dauerhafte Verbindung schaffen [7]. Zum Drahtbonden haben sich in der Praxis folgende Verfahren bewährt (Einteilung nach der Art der Energiezuführung): Thermokompressionsdrahtbonden (Druck und Wärme), Ultraschalldrahtbonden (Druck und Reibung durch Ultraschall) und das Thermosonicdrahtbonden (Druck, Wärme und Reibung durch Ultraschall). 19 Es haben sich auch Firmen auf dastesten und Auseinandersägen von Chips spezialisiert (sogenannte Testhäuser : sie kaufen ungetestete ICs als Wafer von großen Halbleiterherstellern, wobei in dieser Form in der Regel alle IC-Typen lieferbar sind (wobei die Stückzahl sehr stark den Preis bestimmt), und verkaufen die getesteten ICs einzeln, auch in kleinen Stückzahlen pro Kunde.

49 Fertigungstechnik Integrierte Schichttechnik, Hybridtechnik Seite 49 Abbildung 27: Chip and Wire-Technik [15] Anstelle des Chip and Wire-Verfahrens (das Die- und Draht-Bonden beinhaltet), können auch neuere, simultane Montier- und Kontaktierverfahren verwendet werden, nämlich TAB (Tape automated Bonding) oder die Flip-Chip-Technik, bei der die mechanische Befestigung und die Kontaktierung aller Anschlüsse gleichzeitig ablaufen. Jeder bestückte Naktchip muß anschließend vor Umwelteinflüssen geschützt werden, insbesondere vor mechanischer Beschädigung und vor Lichteinfall (da Lichtquanten die elektrische Funktion von ICs stören). Gewöhnlich geschieht dies durch einen Tropfen Epoxidharz- oder Silikonkleber, der den Chip mitsamt Bonddrähten bedeckt. (Die Wahl den Klebers ist jedoch kritisch, da ein im ausgehärteten Zustand unelastischer Kleber zwar guten mechanischen Schutz bietet, er bewirkt aber durch infolge von unterschiedlichen Ausdehnungskoeffizienten von Kleber, Halbleiter und Metall bei Temperaturänderung auftretenden mechanischen Verspannungen eine geringere Zuverlässigkeit der Bonddraht-Verbindungen. Deshalb werden auch zwei Klebeschichten übereiander eingesetzt eine elastische und eine harte.)

50 Seite 50 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) 3 Halbleitertechnologie (Halbleiterblocktechnik) Grundlagen 3.1 Wie werden prinzipiell in der Halbleitertechnik elektronische Funktions- bzw. Schaltelemente realisiert? Charakterisieren Sie kurz die wichtigsten. Was beinhalten im wesentlichen die drei Zyklen der Halbleitertechnologie (Halbleiterblocktechnik)? In Halbleiterbauelementen werden prinzipiell Wechselwirkungen zwischen Ladungsträgern, Atomen des Halbleitermaterials (und eventuell Photonen) technisch genutzt. Die wichtigsten Bauelemente sind Gleichrichterdioden (gleichrichtende Wirkung), bipolarer Transistor (stromgesteuerter Verstärker: ein Strom beeinflußt einen anderen Stromfluß) und Feldeffekt-Transistor (spannungsgesteuerter Verstärker: ein elektrisches Feld beeinflußt einen Stronfluß). Die drei Zyklen der Halbleiterblocktechnik beinhalten der Reihe nach: Zyklus 0 (Herstellung der unstrukturierten einkristallinen Halbleiterscheiben): Herstellen des polykristallinen Halbleiterwerkstoffes, Ziehen der Einkristalle (evtl. Dotieren), Zersägen der Ingots in Scheiben, Läppen, Polieren, Politurätzen Zyklus I (Scheibenprozeß, Strukturierung der Wafer), mögliche Prozeßschritte (nicht nach Reihenfolge geordnet): Fotolithographie, Herstellung dielektrischer Schichten durch Oxidation, Sputtern oder CVD-Verfahren, Herstellung von dotierten Halbleitergebieten durch Diffusion, Ionenimplantation oder Epitaxie, Herstellung von Leiterbahnen durch Metallisierung oder Abscheidung polykristallinen Halbleitermaterials, Wärmebehandlungsschritte (Tempern) Zyklus II (Plättchenprozeß, Herstellung und Prüfung der einzelnen Bauelemente): Zerlegen des Wafers in Chips (Chipvereinzelung), das Aufbringen der einzelnen Chips auf den Bauelementeträger und Kontaktierung der Anschlüsse, Verkappen (Schließen des IC-Gehäuses oder Umhüllen mit Kunststoff), Funktionsprüfung des fertigen Bauelementes Substratherstellung 3.2 Wodurch sind Halbleiterwerkstoffe charakterisiert und wie kann man ihre Leitfähigkeit verändern (Grundprinzipien)? Nennen Sie vier chemische Stoffarten und dazugehörige Beispiele mit Halbleitercharakter. Welches ist der z. Z. wichtigste Werkstoff, wie wird er gewonnen und welche Vorteile hat er? Halbleiter sind Materialien, welche in ihrem elektrischen Leitvermögen bei Raumtemperatur zwischen GHQ,VRODWRUHQ <10-14 S/cm) und den LeiWHUQ 10 5 S/cm) liegen. Erklären läßt sich dies mit dem Bändermodell: Nach der Quantentheorie kann ein Elektron in einem einzelnen Atom nicht jeden beliebigen Energiewert annehmen, sondern nur diskrete Energieniveaus besetzen, die einen relativ großen Abstand haben. Nähern sich zwei Atome soweit, daß sie elektrisch miteinander wechselwirken (Molekülbildung), verdoppelt sich die Anzahl dieser erlaubten Energieniveaus, wobei sich ihr Abstand zueinander verringert. Im Festkörper befindet sich nun aufgrund der Kristallstruktur eine sehr große Anzahl von Atomen (etwa je cm 3 )in Wechselwirkung, wodurch sich jedes Energieniveau in sehr viele Unterniveaus aufspaltet. Dies führt zu quasikontinuierlichen Energiebereichen, den Energiebändern. Zwischen den einzelnen Bändern liegen Bandlücken, d. h. energetisch verbotene Bereiche, in denen sich keine Energieniveaus befinden (Energielücken).

51 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite 51 Abbildung 28: Bändermodell, Energieniveaus und Entstehung von Energiebändern [12], a) Einzelatom; b) zwei Atome (Molekül); c) kristalliner Festkörper (1 diskrete Energieniveaus; 2 besetzte Energiebänder; 3 Valenzband; 4 verbotene Zone; 5 Leitungsband unbesetzt) Ob ein Festkörper ein Leiter oder Isolator ist, hängt von der Besetzung der Bänder mit Elektronen ab. Ist ein Band vollständig gefüllt, können die Elektronen dieses Bandes nicht am Stromfluß teilnehmen, da sich durch den Festkörper bewegende Elektronen auf ein höheres Energieband gehoben werden müssen. Elektrische Leiter sind solche Festkörper, bei denen ein Energieband nur teilweise besetzt ist. Das oberste vollständig gefüllt Band heißt Valenzband. Das darüber liegende entweder teilweise gefüllte oder auch leere Band wird als Leitungsband bezeichnet. Die Leitfähigkeit eines Metalles kommt also dadurch zustande, daß aus dem Valenzband mit Leichtigkeit Elektronen in das darüberliegende Leitungsband angehoben werden können, da sich bei Metallen diese Bänder teilweise überlappen und keine verbotene Zone zwischen ihnen liegt. Beim Bändermodell eines Isolators liegt zwischen dem voll besetzten Valenzband und dem Leitungsband eine so breite verbotene Zone, die (durch eine noch so große Energiezufuhr) nicht überwunden werden kann. Beim Halbleiter liegt zwar zwischen Valenz- und Leitungsband ebenfalls eine verbotene Zone, die jedoch so schmal ist, daß Elektronen diese Energieschwelle durch Erregung (Energiezufuhr) überwinden und in das Leitungsband abwandern können. Aus diesem Grund kann die Leifähigkeit von Halbleitern durch eine Energiezufuhr in Form von Temperaturerhöhung oder Lichteinfall, die eine Eigenleitung hervorruft, verändert werden. Abbildung 29: Energiebänder von Festkörpern 20 [10] (VB: Valenzband; LB: Leitungsband; VZ: Verbotene Zone) Der spezifische Widerstand von Halbleitern kann aber auch erheblich verändert werden durch den Einbau von Fremdatomen. Diese Atome fügen sich in den Halbleiterkristall ein, geben jedoch infolge ihrer vom Halbleitermaterial abweichenden Anzahl von Valenzelektronen frei bewegliche Elektronen ab (die Störatome sind dann Donatoren, die Majoritätsträger hervorrufen) oder nehmen Elektronen ihrer Nachbaratome auf, wodurch Störstellen entstehen, die durch eine Verschiebung von Elektronen wandern können (die Störatome sind dann Akzeptoren, die Minoritätsträger hervorrufen). Halbleiter lassen sich in Elementhalbleiter und Halbleiter, die durch eine intermetallische Verbindung gebildet werden, einteilen [10]: 20 Das Bändermodell des Leiters ist vereinfacht.

52 Seite 52 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Einteilung nach chemischer Beschaffenheit Elementhalbleiter (d. h. Material besteht nur aus einem Element der IV. Hauptgruppe) III-V-Halbleiter II-VI-Halbleiter IV-VI-Halbleiter Der zur Zeit wichtigste Halbleiter ist das Silizium. Beispiele Germanium (Ge), Silizium (Si) Galliumarsenid (GaAs), Indiumphosphid (InP) Kadmiumselenid (CdSe), Quecksilbersulfid (HgS), Zinktellurid (ZnTe) Siliziumkarbid (SiC) Silizium wird von allen Halbleitern mit Abstand am häufigsten eingesetzt, weil es leicht zu oxidieren ist, und das Siliziumoxid elektrisch und chemisch sehr stabil ist, so daß es als Maske für Verarbeitungsschritte und als Isolator (insbesondere für MOSFETs) dienen kann. Dies ermöglicht eine relativ einfache und preisgünstige Herstellung von Bauelementen. Andere Halbleiter werden in der Regel nur eingesetzt, wenn geforderte Bauelemente-Eigenschaften mit Silizium nicht herstellbar sind, was beispielsweise bei optoelektronischen Bauelementen der Fall sein kann. Aus dem Rohmaterial Quarzsand (das in der Erdkruste in großen Mengen vorkommt) wird durch Reduktion Rohsilizium gewonnen. Dieser Reduktionsprozeß ist sehr energieintensiv, es müssen 13 kwh pro kg SiO 2 aufgebracht werden. Die Gewinnung erfolgt in Lichtbogenöfen mit Kohleelektroden nach folgender Reaktion: (1500 C) SiO2 + 2C Si + 2CO Das so gewonnene Silizium hat nur einen Reinheitsgrad von etwa 98% und wird Metallurgic Grade Silicon genannt [7]. Eine weitere Reinigung des Siliziums erfolgt durch fraktionierte Destillation. Dies geschieht durch eine Reaktion mit HCl. Dabei entsteht Trichlorsilan (SiHCl 3 ) mit einem Siedepunkt von 31,8 C. Die Reaktionsgleichung lautet: (300 C) Si + 3HCl SiHCl + H Bei der nachfolgenden fraktionierten Destillation werden die elektrisch störenden Beimengungen auf einen Anteil von unter 10-9 gedrückt. Nach dieser Reinigungsstufe wird die Reaktion im wesentlichen wieder umgekehrt, um wieder zum festen, elementaren Silizium zu kommen. Dabei muß die Prozeßtemperatur geändert werden, um das Reaktionsgleichgewicht in die entgegengesetzte Richtung gegenüber der Reduktion zu verschieben: (1000 C) SiHCl3 + H 2 Si + 3HCl Unter vermindertem Druck verdampft das Trichlorsilan und wird in einer Wasserstoffstoffphase bei hoher Temperatur gespalten. Dies geschieht an durch Stromdurchfluß erhitzten Stäben. So entstehen in einem Prozeß, der sich über Tage hinzieht, Stäbe mit Durchmessern bis über 200 mm und Längen von einigen Metern. Die Stäbe aus polykristallinen Silizium bilden das Ausgangsmaterial, sogenanntes Electric Grade Silicon, für die Herstellung von einkristallinem Silizium [7]. Anschließend folgt das Züchten der Einkristalle unter gleichzeitiger (eventuell wiederholter) physikalischer Reinigung zur (weitestgehenden) Entfernung von Spuren unerwünschter Fremdatome im Silizium. 3.3 Warum müssen chemisch reine Halbleiter häufig weiter gereinigt werden; welches physikalische Wirkprinzip kommt dabei zur Anwendung und wie wird es technisch realisiert? Die durch die Spaltung von Trichlorsilan gewonnenen Polystäbe enthalten für die Herstellung von Einkristallen unerwünschte Fremdatome. Im gezüchteten Einkristall sind praktisch immer Kristallstörungen vorhanden (an denen die Si-Atome nicht in einer vollständig regelmäßigen Gitterstruktur liegen). Fremdatome können an solchen Stellen adsorbiert werden (gegettert, gettering effect), was zwar für den übrigen Kristall einen Reinigungseffekt bedeutet, andererseits bilden die an den Störstellen angereicherten Fremdatome ein Rekombinations-Generationszentrum [6], das nachteilig auf die Eigenschaften des daraus hergestellten Halbleiterbauelementes wirkt. Hieraus ergibt 3 2

53 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite 53 sich die Notwendigkeit einer physikalischen Reinigung, die ohne besondere Vorkehrungen beim Einkristallzüchten immer abläuft. Der physikalische Reinigungseffekt folgt dem Umstand, daß beim Wachstum des regelmäßig aufgebauten Kristalls (beim langsamen Abkühlen beim Einkristallzüchten) die nicht dem Wirtsgitter entsprechenden Atome weniger leicht eingebaut werden als solche, die in ihrem Bindungsverhalten und in ihrer Größe dem schon vorhandenen Grundgitter entsprechen. Der Segregationskoeffizient als Verhältnis der atomaren Konzentration Schmelze, C k o = C s l Cs im festen Körper (s solidus fest) zu der in der C l (l liquidus flüssig) ist im Regelfall viel kleiner als eins. Element k o Element k o Al Fe As Ga Au 2, Mg B Na 1, Cu O 1,25 Lediglich Stoffe, deren Atomradius dem von Si nahekommt, besitzen hohe Segregationskoeffizienten, wie z. B. Bor oder auch Sauerstoff in Silizium. Technisch gibt es zwar verschiedene Varianten, durch Umkristallisation eine Reinigung vorzunehmen, doch bei Silizium werden aufgrund dessen hoher Schmelztemperatur, bei der Tiegel merklich Verunreinigungen an das Silizium (unglücklicherweise auch Sauerstoff) abgeben, im Gegensatz zur Reinigung von Germanium für die (wiederholte) Reinigung von Silizium beinahe nur tiegelfreie Verfahren verwendet. Dies ist mit einer vertikalen Anordnung möglich. Der physikalische Reinigungsvorgang kann zur Erzielung einer höheren Reinheit mit ein und demselben Siliziumstab mehrmals wiederholt werden. Abbildung 30: Tiegelfreies Zonenziehen [6] Mittels einer HF-Ringspule wird eine Schmelzzone erzeugt, welche bei geschickter Prozeßführung nicht kollabiert und gewissermaßen (sehr langsam) durch den Siliziumstab hindurchgezogen wird. Durch Stauchen und Auseinanderziehen der beiden Stabenden beiderseits der Schmelzzone kann hierbei der resultierende Kristall-Durchmesser genau festgelegt und gleichmäßig gehalten werden. Bei Verwendung eines orientierten Impfkristalls ist hierbei auch die Herstellung reinster Einkristalle möglich. 3.4 Erläutern Sie kurz die beiden wichtigsten Verfahren zum Züchten von Halbleitereinkristallen und arbeiten Sie deren Vor- und Nachteile heraus. Wie wird bei diesen Verfahren homogen dotiert? Zum Züchten der Einkristalle haben sich (bei Silizium) zwei Verfahren großtechnisch durchgesetzt: - das Tiegelziehverfahren (Czochralski-Verfahren) und - das Zonenziehverfahren.

54 Seite 54 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Bei dem Czochralski-Verfahren (abgekürzt: CZ) wird in einem Quarztiegel polykristallines Silizium (Electric Grade Silicon) durch Induktions- oder Widerstandsheizung bei Temperaturen über 1410 C, dem Schmelzpunkt von Silizium, in einer Inertgasatmosphäre aufgeschmolzen. Kritisch ist im Fall von Silizium die Wahl des Tiegelmaterials. Zur Auswahl stehen Quarz oder Graphit, mit einer Hartgraphit-Oberflächenschicht (Glanzkohle) versehener Graphit, sowie Bornitrid BN. Die hohe Schmelztemperatur des Silizium bedingt, daß Verunreinigungen aus dem Tiegelmaterial in die Schmelze eintreten. Hier bietet die feinporige Glanzkohleschicht mehr Sicherheit als der ungeschützte Graphittiegel. Eine technische Schwierigkeit sind die unterschiedlichen Ausdehnungskoeffizienten von Silizium und Tiegel, insbesondere bei dem gut geeigneten Material BN. Bei Prozeßende zieht sich das Tiegelmaterial stärker zusammen als das verbleibende, erstarrende Si, und der teure Tiegel platzt. Man muß deswegen bei Zieh-Ende den Tiegel praktisch geleert haben [6]. Zum Anfang des Ziehens wird ein Keimkristall (Impfling) aus einkristallinem Silizium in der gewünschten Kristallorientierung mit der Oberfläche der Schmelze in Kontakt gebracht. Unter langsamer Rotation wird der Keimkristall aus der Schmelze gezogen, wobei sich der Tiegel in entgegengesetzter Richtung dreht, so daß von einem Halsbereich abgesehen ein Einkristall einer vorbestimmten Orientierung mit konstantem Durchmesser entsteht. Nach dem Ziehen mit dem CZ-Verfahren werden die Einkristalle, die bis zu 60 kg schwer und bis zu 3 m lang sind, an den Enden abgeschnitten. Tiegelgezogenes Material ist heute bis zu einem Durchmesser von 200 mm (8 Zoll) verfügbar. Größere Durchmesser wie etwa 300 mm (12 Zoll) befinden sich in der Produktionseinführung [7]. Abbildung 31: Tiegelziehen (Czochralski-Verfahren) von Si-Einkristallen [6] Ein weiteres wichtiges Verfahren zur Herstellung von großen Silizium-Einkristallen ist das Zonenzieh- Verfahren, das auch Float-Zone-Verfahren (abgekürzt: FZ) genannt wird 21. Ein Stab von polykristallinem Silizium, der bereits die Außenabmessungen des späteren Einkristalls aufweist, wird so in eine Halterung eingespannt, daß das untere Ende auf einem Keimkristall aufsitzt. Mit einer Induktionsspule, die jeweils nur einen schmalen Bereich des polykristallinen Stabes aufschmilzt und die axial verschiebbar ist, läßt man eine Schmelz- und Erstarrungszone vom Impfkristall über die Länge des Siliziumstabes bis zum oberen Ende laufen. Bei der Erstarrung wächst das Material einkristallin in der Orientierung des Keimkristalls weiter. Damit wandelt man das polykristalline 21 Das FZ-Verfahren wurde bereits bei der vorigen Frage zur wiederholbaren physikalischen Reinigung von polykristallinem Silizium beschrieben.

55 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite 55 Material zonenweise in einen Einkristall um, der die gleiche Orientierung wie der Keimkristall aufweist. Auch bei diesem Verfahren muß im Hochvakuum oder unter einer Schutzgasatmosphäre (im allgemeinen Argon) gearbeitet werden. FZ-Silizium ist heute bis zu einem Durchmesser von 125 mm (5 Zoll) verfügbar [7]. Abbildung 32: Zonenziehverfahren [7] Mit dem Zonenzieh-Verfahren erhält man Kristalle mit äußerst geringen chemischen Verunreinigungen. Zum einen kommt das Material beim Kristallziehen mit keinem Tiegel in Berührung, der wieder eine Quelle von Verschmutzungen sein könnte, zum anderen wird der Segregations-Effekt ausgenutzt. Dieser Reinigungseffekt kommt natürlich auch beim Tiegelziehverfahren zum Tragen, nur kann man ihn beim Zonenziehverfahren mehrfach wiederholen, um extrem reine Kristalle zu erhalten. Das Zonenziehverfahren hat aber gegenüber dem Tiegelziehverfahren den Nachteil, daß es auf kleinere Durchmesser beschränkt ist. Das meiste einkristalline Silizium wird heute mit dem Tiegelziehverfahren mit 8 Zoll Durchmesser hergestellt, da die meisten Halbleiterfabriken bei der Herstellung von Massenware 8-Zoll-Wafer verwenden. Ein so erhaltener Einkristallblock wird auch Ingot genannt. Sowohl beim Zonenziehverfahren als auch beim Tiegelziehverfahren kann der Kristall dotiert werden, indem der im Rezipienten befindlichen Inertgasatmosphäre geeignete gasförmige Dotierstoffe beigegeben werden, die die gewünschten Dotieratome enthalten. Als Dotieratome kommen dreiwertige Elemente wie Bor oder fünfwertige wie Phosphor zum Einsatz. Typische Dotierstoffe, die der Inertgasatmosphäre beigegeben werden, sind Phosphin (PH 3 ) oder Diboran (B 2 H 6 ). 3.5 Wie werden aus den Einkristallen Halbleiterscheiben für die Planartechnik hergestellt und welche verfahrensbedingten Probleme sind dabei zu lösen? Warum ist die bisherige Methode materialökonomisch unbefriedigend und welche Entwicklungsrichtung ist dementsprechend anzustreben? Die Einkristallblöcke, auch Ingots genannt, müssen weiter zu Scheiben, die auch Wafer genannt werden, verarbeitet werden. Dazu werden die Blöcke zunächst exakt zylindrisch geschliffen und mittels eines Röntgendiffraktometers in ihrer kristallographischen Orientierung vermessen. Sowohl die Orientierung wie auch die Dotierungsart werden mittels sogenannter Flats auf der Zylinderfläche eingeschliffen. Darauf werden die Ingots mittels Innenlochsägen zerteilt. Bei dieser Art von Sägen kann die Schnittkante des Sägeblattes unter Zugspannung gesetzt und dadurch besonders stabil und flatterfrei gehaltert werden. Dies erlaubt auch die Verwendung eines besonders dünnen Sägeblattes, wobei die minimale Schnittbreite einen unmittelbaren wirtschaftlichen Aspekt hat, da man beim Zersägen des Ingots nur einen möglichst geringen Anteil des kostbaren Einkristalls wieder zerspanen möchte. Dennoch ist die Materialausbeute an gesägten Scheiben der üblichen Dicke von 0,5 mm nur 60%, da ungefähr 0,4 mm Schnittverlust auftritt [6].

56 Seite 56 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Abbildung 33: Kennzeichnung der Wafer durch Flats [6] Nach dem Zersägen folgen weitere Arbeitsschritte zur Verbesserung der Scheibenoberfläche, wie mechansiches Läppen, Anätzen der durch die mechanischen Bearbeitung gestörten Kristallschicht sowie als Abschluß ein mechanisch-chemisches Polierverfahren. Wichtig ist auch eine Randkonturierung der Scheiben, d. h. ein Entgraten der Kanten, um kleine Silizium-Splitter vom Randbereich der Scheibe im Fertigungsprozeß zu vermeiden. Abbildung 34: Prinzip einer Innenlochsäge zum Zersägen von Ingots [7] Scheibenprozeß 3.6 Erläutern Sie das Verfahrensprinzip der Herstellung von diskreten und integrierten Halbleiterschaltelementen in Planartechnik. Warum ist Silizium unter den verschiedenen HL- Werkstoffen hierfür am besten geeignet? In der Planartechnik wird eine vertikale Abfolge unterschiedlich dotierter Schichten erzeugt. Die Schichten können durch Dotierung des (grunddotierten) Substrates mittels Diffusion oder Ionenimplantation oder durch Epitaxie (chemisches einkristallines Aufwachsen) hergestellt werden. Dabei können sehr dünne Schichten erzeugt werden, speziell bei Einsatz der Epitaxie. (Geringe Schichtdicken sind beispielsweise bei der Schicht für die Basis eines Bipolartransistors erstrebenswert.) Gewisse Eigenschaften des Siliziums vereinfachen dabei den Prozeß der Strukturierung im Vergleich zu anderen Halbleitern, beispielsweise Galliumarsenid (was aber aus anderen Gründen für Spezialfälle wie GHz-Schaltungen Verwendung findet). Silizium kann an der Oberfläche einfach in Siliziumoxid (SiO 2 ) entweder unter Temperatureinwirkung in einer trockenen Sauerstoffatmosphäre (Bildung von Trockenoxid) oder in einer wasserhaltigen Atmosphäre (Bildung von Naß-Oxid) umgewandelt werden. (Wobei für Passivierungsschichten und Oxid-Masken nur das Naß-Oxid verwendet wird, da Trockenoxid nur mit einer sehr geringen Schichtwachstumsrate erzeugt werden kann.) Dieses gebildete Oxid kann durch Verwendung einer Fotoätzmaske strukturiert, d. h. nur an bestimmten Stellen wieder abgeätzt werden, wobei sich das Oxid sehr gut als Maske für spätere Dotierverfahren (Diffusion oder Ionenimplantation) eignet. Die Herstellung von integrierten Halbleiterschaltkreisen unterscheidet sich von der Herstellung von Einzelhalbleitern nur dadurch, daß die gemeinsam auf einem Chip hergestellten Schaltelemente geeignet voneinander isoliert werden müssen, um sie später durch eine strukturierte Metallisierung (Al) nach einer bestimmten Art und Weise elektrisch zu verbinden.

57 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite Warum muß in bipolaren Transistoren der Kollektorbahnwiderstand minimiert werden? Erläutern Sie, warum die Erfüllung dieser Forderung in der einfachen Planartechnik problematisch ist und wie dieses Problem für die Fertigung diskreter Transistoren gelöst wurde. Niedrige Kollektorbahnwiderstände bei Bipolartransistoren sind von schaltungstechnischen Interesse, da sich bei einem Stromfluß durch eine durchgesteuerte Kollektor-Emitterstrecke der Spannungsabfall aus der Schwellspannung (U CEsat ) und eben auch einem Spannungsabfall durch den ohmschen Bahnwiderstand zusammensetzt. In der einfachen Planartechnik wird ein (Planar-) Bipolartransistor hergestellt, indem in ein grundotiertes Substrat, nacheinander die Basis- und Emittelschicht hineindiffundiert wird. Tiefe, nicht umdotierte Schichten des Substrates bilden den Kollektor. Problematisch ist die Tatsache, daß die Basisschicht aus einen Material besteht, das grunddotiert war und einmal umdotiert wurde. Die (obenliegende) Emitterschicht besteht sogar aus einem Material, daß grunddotiert war und zweimal umdotiert wurde. Nach dem Umdotieren hat eine Schicht zwar die gewünschte Dotierung (p oder n), die Frematome der vorherigen Dotierung sind jedoch noch im Material vorhanden und verschlechtern die Eigenschaften des Transistors merklich (Sperrströme). Bei der einfachen Planartechnik muß weiterhin ein Kompromiß eingegangen werden: für hohe mögliche Sperrspannungen muß die Kollektor-Raumladungszone breit sein, was durch eine niedrige Dotierung der Kollektorschicht erreicht werden kann. Um jedoch den bereits angesprochenen Kollektorbahnwiderstand möglichst klein zu halten, ist wiederum eine hohe Dotierung der Kollektorschicht nötig. Dieses Problem wird durch Epitaxie gelöst (Epitaxie-Planartransistor). Ausgangspunkt der Herstellung ist eine stark dotierte Substratoberfläche. Diese hochdotierte Schicht dient beim fertigen Transistor nur als Leitschicht, um den Kollektorbahnwiderstand gering zu halten, nicht aber als Kollektorschicht, die an der Basisschicht angrenzt. Mittels Epitaxie wird auf der stark dotierten Substratoberfläche eine schwach dotierte, einkristalline Siliziumschicht abgeschieden, die das Material für die drei Schichten eines Bipolatransistors bildet: Basis und Emitter werden nacheinander durch Umdotieren erzeugt, die Kollektorschicht wird bereits ohne Umdotieren durch das epitaktisch abgeschiedene Material gebildet. (Siehe auch Aufgabe 3.22) 3.8 Wie können epitaktische Schichten erzeugt werden? Erläutern Sie als Beispiel die Abscheidung einer n-dotierten Si-Epitaxieschicht. Warum wird die sogenannte Niedrigtemperaturepitaxie zunehmend bevorzugt? Epitaxie ist ein Sonderfall des CVD-Verfahrens (CVD: Chemical Vapour Desposition) [7]. Der grundsätzliche Vorgang des CVD-Verfahrens ist das Abscheiden eines festen Stoffes aus einer gasförmigen Verbindung auf dem Substrat aufgrund einer chemischen Reaktion. Die Schwellenenergie zum Zerfall des Ausgangsstoffes wird entweder thermisch durch Aufheizen des Substrates oder durch die elektrische Energie in einer Gasentladung bereitgestellt. Für die Funktionsfähigkeit des Verfahrens ist es wichtig, daß die Reaktionsprodukte bis auf das abgeschiedene Material ebenfalls gasförmig sind und aus dem Rezipienten wieder herausgepumpt werden können. Je nach Prozeßführung und den Gitterkonstanten des Substrates und des abgeschiedenen Materials können amorphe, polykristalline und auch einkristalline Schichten abgeschieden werden. In diesem Fall spricht man von Epitaxie. Das heutige Standardverfahren ist die Gasphasen-Epitaxie sowohl im Normal- (APCVD-Atmospheric Pressure CVD) als auch im Niederdruckbereich (LPCVD-Low Pressure CVD). Bei der Gasphasen- Epitaxie spielt die präzise Temperaturkontrolle eine entscheidende Rolle für die Qualität der Schichten, neben dem Absolutwert der Temperatur von 1050 C bis 1150 C auf der Substratscheibe gilt das auch für eine möglichst gleichmäßige Erwärmung der gesamten Substrat-Fläche. Als Reaktionsgas dienen (für Siliziumschichten) gasförmige Siliziumverbindungen wie SiH 4 (Silan), SiCl 4 (Siliziumtetrachlorid), SiH 2 Cl 2 (Dichlorsilan) oder auch SiHCl 3 (Trichlorsilan), die mit einem inerten Trägergas, etwa H 2, verdünnt sind. Diese Gase werden über die aufgeheizte Substratoberfläche geleitet, wobei die Gasmoleküle durch chemische Reaktion in mehrere Reaktionsprodukte zerfallen, beispielsweise: SiCl + H Si 42 HCl, Cl2 Si + SiH 2HCl,

58 Seite 58 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) SiHCl + H Si 3HCl (Die letztgenannte chemische Reaktion ist die gleiche wie bei der Herstellung der polykristallinen Siliziumsblöcke.) Dem als festes Reaktionsprodukt aus der Reaktion hervorgehenden Silizium-Atom verbleibt soviel kinetische Energie, daß es auf der Oberfläche den energetisch günstigsten Platz einnehmen kann. Da der Einkristall ein Energieminimum des Festkörpers darstellt, wächst die abgeschiedene Schicht als Einkristall auf. Die Kristallorientierung des einkristallinen Substrates setzt sich gleichförmig in der abgeschiedenen einkristallinen Schicht fort. Abbildung 35: Prinzipien gebräuchlicher Epitaxie-Reaktoren [7], a) Pancake-Reaktor, b) induktionsbeheizter Reaktor Wenn dem Reaktionsgas geeignete Beimischungen zugegeben werden, lassen sich entsprechend dotierte Schichten abscheiden. Dotiert wird beispielsweise mit Diboran B 2 H 6 für p- oder Phosphin PH 3 für n-dotierung; als Nebeneffekt erhöht Diboran und Phosphin erniedrigt die Abscheiderate. Neben der Gasphasen-Epitaxie werden in Sonderfällen auch andere Epitaxie-Verfahren eingesetzt, wie die Flüssigphasen-Epitaxie (flüssige Ausgangsstoffe) oder die Molekularstrahl-Epitaxie (thermisch aktivierte, sich gerichtet bewegende Atome scheiden sich im Höchstvakuum auf dem Substrat ab). CVD-Anlagen erfordern in der Praxis einen großen Aufwand für den Schutz des Personals und der sicheren Lagerung der benötigten Gase (Gasdetektoren in den Laborräumen und in den Schränken, Einbau der CVD-Anlage in einen Schrank mit Abzug. Beispielsweise entflammt Silan sich an der Luft oberhalb 3% Verdünnung, Phosphin ist extrem toxisch.) Ein weiteres Problem ist die Entsorgung der abgepumpten Gase. Ein Epitaxie-Verfahren gilt als Hochtemperatur-Epitaxie-Verfahren, wenn Prozeßtemperaturen ab 700 C verwendet werden. Solche Temperaturen bewirken eine Diffusion bereits vor der Epitaxie- Schicht im Substrat hergestellter dotierter Schichten. Durch die Diffusion verlaufen Dotierungsfronten, d. h. Schichtgrenzen wandern und werden unschärfer. Weiterhin können sich durch hohe Temperaturen mechanische Spannungen innerhalb des Materials lösen und zu Verwerfungen führen. Probleme könne auch beteiligte Materialien mit unterschiedlichen Ausdehnungskoeffizienten bereiten: auf diese Weise entstehen ebenfalls mechanische Verspannungen. Aus diesen Gründen verwendet man vermehrt Epitaxie-Verfahren mit niedrigeren Temperaturen. 3.9 Welche hauptsächliche Bedeutung haben SiO 2 -Schichten in der Planartechnik und wozu können sie darüber hinaus noch dienen? Warum werden neben SiO 2 -auch Si 3 N 4 - Schichten verwendet? Welche Verfahren zur Realisierung dieser Schichten kennen Sie? Siliziumdioxid SiO 2 ist die wesentlichste Isolator-Deckschicht der Halbleitertechnik. Die Bedeutung des SiO 2 liegt sowohl in der Möglichkeit, SiO 2 durch thermische Oxidation der Silizium-Scheibe direkt zu erzeugen, als auch in der hervorragenden Isolations- und Schutzeigenschaft neben der Passivierung der fertigen Halbleiterschaltung auch als strukturierte Maske bei Ätz- und Dotierprozeßschritten. Damit bildet gerade das thermische Oxid die Basis der Silizium-Planartechnik. SiO 2 -Schichten finden Verwendung als: - Passivierungsschichten,

59 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite 59 - Maskierschichten, - Isolierschichten, - Dielektrische Schichten, - Haftvermittlungsschichten. SiO 2 -Schichten können durch thermische Oxidation des Substrat-Si, durch Aufsputtern von SiO 2 oder aber auch durch CVD-Verfahren erzeugt werden. Bei der thermischen Oxidation reagieren Siliziumatome der Oberfläche Schicht um Schicht mit dem in der Atmosphäre angebotenen Sauerstoff. Die Oxidationsschicht wächst also nicht linear mit der Zeit, sondern ist ein Prozeß mit abfallender Wachstumsrate, da die Sauerstoffatome durch die immer dicker werdende Schicht von SiO 2 diffundieren müssen, um reaktionsfähige Si-Atome zu treffen. Die Prozeßtemperaturen für Silizium liegen zwischen 800 C und 1200 C. Bei Abwesenheit von Wasserdampf in der Atmosphäre spricht man von Trockenoxidation. Trockenoxide haben ausgezeichnete dielektrische Eigenschaften und sind frei von Defekten. Allerdings liegt die Prozeßzeit für eine Oxiddicke von 0,1 µm bei über 10 Stunden. Si O 2 +(Trockenoxidation) SiO 2 Si + 2H O SiO + H (Feuchtoxidation) Wird der Sauerstoff für die Oxidation im Reaktionsraum mit Wasserdampf angereichert, so spricht man von Feuchtoxidation. Die Feuchtoxidation ergibt bei gleicher Temperatur und Zeit wesentlich größere Oxiddicken als die Trockenoxidation. Dicke Oxide werden deshalb durch Feuchtoxidation hergestellt. Die Feuchtoxidation liefert jedoch, verglichen mit der Trockenoxidation, Schichten mit geringerer Dichte und niedrigerer Durchbruchfeldstärke. Siliziumnitrid Si 3 N 4 ist eine sehr dichte Deckschicht, die sowohl zum Schutz der Oberfläche gegen Ausdiffusion beim Tempern von Silizium (und vor allen von Galliumarsenid) verwendet wird, als auch zum Schutz gegen eindringende Fremdstoffe. Im letzteren Fall wird bei Si im allgemeinen zunächst thermisches Oxid gewachsen und dann Si 3 N 4 aufgebracht. Eine weitere Verwendung neben der als spezielle Ätzmaske ist die als Isolatorschicht bei nichtflüchtigen Speichern (EPROMs, EEPROMs), wo Ladungen an Grenzflächen zwischen unterschiedlichen Isolatoren angesammelt werden Erläutern Sie den Verfahrensablauf der partiellen Öffnung diffusionshemmender Deckschichten zur Vorbereitung auf die lokale Diffusionsdotierung und die dabei auftretenden Toleranzprobleme bei der Realisierung bestimmter Lateralabmessungen. Die gereinigten Siliziumscheiben werden in einer 2-stündigen Feuchtoxidation mit einer etwa 0,5 µm dicken Oxidschicht versehen. Danach wird diese Oxidschicht mit folgenden Prozeßschritten partiell geöffnet: - Auftrag des Fotolackes mit einer sogenannten Lackschleuder (Spincoater), - Belichten des Fotolackes durch eine Maske, - der Fotolack wird entwickelt, die belichteten Flächen des Fotolackes wird aufgelöst, - das freiliegendes Oxid wird mit gepufferter Flußsäure (in Wasser gelöste 48%-ige Flußsäure HF und Ammoniumfluorid NH 4 F) abgeätzt (naßchemisches Ätzen). Die Ätzrate bei Raumtemperatur liegt in der Größenordnung von 0,1 µm/min. Durch die Öffnungen der Oxidschicht können nun die Dotieratome diffundiert werden, vom Oxid abgedeckte Substrat-Flächen werden geschützt. 2

60 Seite 60 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Abbildung 36: Si-Planartechnologie [13], a) Oxidierte Si-Scheibe, b) Oxidierte Si-Scheibe mit Fotolack, c) Belichtung des Fotolackes, d) Entwicklung des Fotolackes, e) Ätzung der SiO 2 -Schicht, f) Struktur nach Diffusion oder Ionenimplantation Das genannte Naßätzverfahren besitzt zwar eine ausgezeichnete Selektivität, d. h. durch geeignete Wahl von Resist und Ätzmittel zum Substrat wird nur das Substrat (hier die Oxidschicht) vom Ätzmittel angegriffen, nicht aber der Ätzresist, Naßätzverfahren ätzen jedoch isotrop (bis auf wenige Ausnahmen 22 ). Das bedeutet bei der Öffnung der Oxidschicht bei der Planartechnik, daß eine Unterätzung an den Resistkanten auftritt, an den Rändern wird mehr Oxid abgeätzt als optimal wäre. Das bedeutet, daß sich die resultierenden Geometrien auf dem Substrat von denen der zum Belichten verwendeten Maske unterscheiden. Es könne praktisch nur Lateralabmessungen hergestellt werden, deren Aspektverhältnis kleiner als 1 ist. Aspektverhältnis nennt man das Verhältnis der Strukturhöhe (Schichtdicke) zur minimalen lateralen Abmessung. Die sogenannten Trockenätzverfahren besitzen zumindest annähernd eine Anisotropie und ermöglichen hierdurch eine Strukturierung von Schichten mit einem Aspektverhältnis größer als 1 und auch mit relativ steilen Kanten an den Strukturrändern. In den letzten Jahren wurden sehr viele verschiedene Trockenätzverfahren entwickelt. Bei den Trockenätzverfahren liegen die ätzenden Medien gasförmig vor. Meist treffen die Ätzgase auch gerichtet auf das Substrat auf, z. B. indem Ionen durch elektrostatische Felder auf das Substrat beschleunigt werden. Daher wird die (isotrope) chemische Ätzkomponente durch einen (anisotropen) physikalischen Prozeß unterstützt. Physikalische Ätzkomponenten besitzen jedoch den Nachteil einer im Vergleich zu rein chemischen Verfahren geringeren Selektivität, d. h. es werden neben der Oxid-Schicht auch teilweise der Resist und die bei abgeätzter Oxidschicht zu Tage tretende Si-Oberfläche angegriffen. 22 Beispielsweise ätzt eine 44%-ige KOH-Lösung bei 100 C einkristallines Silizium anisotrop, und zwar die Ebenen {110} : {100} : {111} im Verhältnis 600 : 300 : 1. Die SiO 2 -Deckschicht der Planartechnik kann prinzipiel nicht chemisch anisotrop abgeätzt werden, da sie nicht einkristallin ist.

61 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite 61 Abbildung 37: Strukturierung durch Ätzen [13], Links: Naßchemische Ätzung (isotrop), Rechts: Trockenätzung (anisotrop) 3.11 Beschreiben Sie die Herstellung von Belichtungsschablonen für die fotolithografische Bearbeitung von Halbleiterscheiben sowie die technische Durchführung und Probleme des Belichtungsvorgangs. Die in der optischen Lithographie eingesetzten Masken bestehen aus Glas- oder Quarzscheiben mit einer Dicke von 1,5 bis 3 mm, mit meist quadratischen Abmessungen von 4, 5 oder 6 Zoll [7]. Als Absorber dient eine Chromschicht, wobei für eine vollständige Lichtundurchlässigkeit schon eine Dicke von 0,1 µm ausreichend ist. Diese Chromschicht wird durch Aufsputtern im Hochvakuum hergestellt. Auf die Chromschicht wird eine etwa 0,5 bis 1 µm dicke Resistschicht mit Hilfe einer sogenannten Lackschleuder (Spincoater) aufgebracht. Zunächst wird in der Mitte der Maske ein Lacktropfen aufdosiert, dann wird die Maske mit einer hohen Umfangsgeschwindigkeit in Rotation versetzt. Hierdurch verteilt sich der Lack sehr gleichmäßig, und es lassen sich sehr homogene Schichten herstellen, wobei mit zunehmender Drehgeschwindigkeit die Schichtdicke abnimmt. Nach der Belichtung der Resistschicht, meist mit einem Elektronenstrahlschreiber (dieser Vorgang heißt Elektronenstrahllithographie), wird der Resist entwickelt. Neben einfachen Tauchentwicklungen haben sich Sprüh-Entwicklungen durchgesetzt, bei denen die rotierende Maske mit dem Entwickler besprüht wird. Danach können sofort geeignete Spülflüssigkeiten aufgesprüht werden. Mit dieser Methode kann auch bei großen Maskenformaten eine sehr gleichmäßige und homogene Entwicklung durchgeführt werden. In einem anschließenden Ätzprozeß wird dann die Chromschicht an den Stellen entfernt, die nicht durch den Resist geschützt sind. Dies erfolgt meist naßchemisch, wobei die Ätzflüssigkeit ebenfalls auf die rotierende Maske aufgesprüht wird. Aber auch Trockenätzprozesse werden eingesetzt, mit denen man prinzipiell kleinere Strukturen erzeugen kann, da kein Unterätzen des Resists auftritt. Im letzten Schritt wird der verbliebene Resist mit einem starken Lösungsmittel aufgelöst oder in einem Sauerstoffplasma verbrannt ( verascht ). Die mit einem Elektronenstrahlschreiber erzielbare kleinste Strukturgeometrie hängt nicht nur von dem kleinstmöglichen Strahldurchmesser, der sich aus der Elektronenoptik ergibt, ab, sondern wird auch sehr stark von den Wechselwirkungsprozessen bestimmt, die die Elektronen mit dem zu strukturierenden Resist oder der darunterliegenden Schicht eingehen. Wenn die Elektronen in die Resistschicht eindringen, erleiden sie eine Streuung. Ein zunächst paralleler und sehr enger Elektronenstrahl wird sich also beim Eindringen in den Resist aufweiten. Dieser Effekt wird als Vorwärtsstreuung bezeichnet. Da der Streuwinkel mit abmehmender Energie etwa quadratisch ansteigt und die Elektronen im Resist ihre Energie quasi-kontinuierlich abgeben, kommt es besonders am Ende der Elektronenbahnen zu einer starken Verbreiterung des Elektronenstrahls. Daher ergibt sich in einem dicken Resist eine keulenförmige Verteilung der abgelagerten Energie. Im Falle des Schreibens einer Maske ist die Energie der eindringenden Elektronen im allgemeinen so hoch, daß fast alle Elektronen die meist dünne Resistschicht vollständig durchlaufen und in das Maskensubstrat eindringen. Wegen der größeren Atommasse des Maskensubstrates werden die Elektronen in größere Winkel gestreut, die sogar 90 überschreiten können. Die Elektronen treten also an anderen Stellen wieder aus der Oberfläche des Substrates heraus und belichten den Resist von unten her ein zweites Mal bzw. gelangen in Gebiete, die nicht direkt vom Elektronenstrahl belichtet werden. Dieser Effekt wird Rückstreuung genannt.

62 Seite 62 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) a) b) Abbildung 38: Streuung des Elektronenstrahls im Resist und im Substrat bei der Elektronenstrahllithographie [7], a) Vorwärtsstreuung, b) Rückstreuung Die Streuung im Resist und im Substrat führt nun dazu, daß auch Resistbereiche, die nicht direkt vom Elektronenstrahl bestrahlt werden, teilweise von Elektronen getroffen werden. Da diese Bereiche damit schon eine Vorschädigung (Belichtung) erfahren haben, hat dies zur Folge, daß man für große, zusammenhängende Gebiete eine niedrigere Elektronendosis benötigt als für kleinere, isolierte Gebiete, für dünne Linien oder für die Randbereiche einer Struktur. Diesen Effekt nennt man Proximity Effekt. Der Proximity-Effekt kann dazu führen, daß einzelne, eng beieinanderliegende Linien nicht mehr aufgelöst werden können. Er begrenzt somit die minimal herstellbare Strukturgeometrie. Zur Korrektur des Proximity-Effektes kann man z. B. die zu schreibende Struktur in mehrere Bereiche aufteilen und entsprechend der Untergrunddosis mit unterschiedlichen Parametern belichten, entweder durch unterschiedliche Elektronenstrahlstärken oder unterschiedlicher Belichtungszeit durch unterschiedliche Schrittgeschwindigkeiten. Diese lokal unterschiedlichen Bestrahlungsdosen werden mit Rechenprogrammen ermittelt, die die Streuung der Elektronen im Resist und Substrat simulieren. Mit zunehmender Komplexität und Größe der Strukturfelder kommt der Maskeninspektion und der Maskenreparatur eine immer größer werdende Bedeutung zu. Bei sehr großen Designs mit minimalen Strukturbreiten unter 1 µm ist davon auszugehen, daß keine absolut fehlerfreie Masken mehr hergestellt werden können [7]. Die Maskeninspektion erfolgt mit Hochleistungsoptiken und mit hochpräzisen Tischen, der Vergleich der zu untersuchenden Maske mit einer anderen Maske oder mit den CAD-Solldaten erfolgt computerunterstützt. Bei Masken treten zwei Fehlerarten auf: opake Defekte, bei denen Absorberstrukturen an Stellen zurückbleiben, die transparent sein sollten, und klare Defekte, bei denen Stücke der Absorberstruktur fehlen. Zur Beseitigung der opaken Defekte muß das Chrom entfernt werden, was durch Bestrahlung mit einen Laser oder mit einem fokussierten Ionenstrahl erfolgen kann. Die Beseitigung von klaren Defekten ist aufwendiger, da hier auf der Maske eine lichtundurchlässige Schicht abgeschieden werden muß. Dies kann dadurch erfolgen, daß die Reparaturstelle mit einer gasförmigen Metallverbindung angeströmt wird und lokal sehr begrenzt Energie in Form von Laser- oder Teilchenstrahlung zugeführt wird. Durch diese Energiezufuhr zersetzt sich die Metallverbindung, und das Metall scheidet sich an den bestrahlten Stellen ab Welche Entwicklungsmöglichkeiten und Probleme in der Halbleiterblocktechnik bringt der Übergang von der Fotolithografie zur Röntgen- und / oder Elektronenstrahllithografie? Bei der Herstellung mikroelektronischer Schaltkreise hat die optische Lithographie (Fotolithographie) die größte Bedeutung. Obwohl schon vor vielen Jahren erwartet wurde, daß die optische Lithographie für die Herstellung von Strukturen mit Breiten unter 0,5 µm, wie sie für die Realisierung von hochintegrierten ICs benötigt werden, nicht geeignet ist, konnte die minimale Strukturbreite durch die laufenden Entwicklungsarbeiten kontinuierlich auf noch kleinere Werte gedrückt werden. Einen Anteil haben daran Weiterentwicklungen in allen Bereichen, die die Strukturauflösung bestimmen: - Verwendung kurzwelligeren Lichtes, - Verbesserung der Tiefenschärfe und der numerischen Apertur des Linsensystems,

63 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite 63 - Verbesserung des Resists in Auflösung und Kontrast, - Verminderung von Reflexionen vom Substrat (stehende Wellen im Resist). Die Abbildung bei der Fotolithographie erfolgt einerseits bei einfachen und unkritischen Strukturen in einer 1 : 1 Schattenprojektion der Maske, die entweder in direktem Kontakt auf dem Resist aufliegt (Kontaktbelichtung), oder einen geringen Abstand zum Substrat aufweist (Proximity-Belichtung). Durch die Wellennatur des Lichtes ergibt sich bei der Schattenprojektion kein idealer Schattenwurf, sondern es treten in der Intensitätsverteilung im Resist Minima und Maxima gemäß der Fresnelschen Beugung auf. Die minimal übertragbare Linienbreite l m ist vom Abstand g zwischen Maske und Substrat und der Wellenlänge λ des zur Belichtung verwendeten Lichtes abhängig: l m gλ. Aufgrund der geringen Auflösung kommt die Schattenprojektion in der Halbleiterfertigung nur für extrem unkritische Bauelemente mit verhältnismäßig sehr großen minimalen Strukturbreiten in Betracht. Aus diesem Grund werden in der Regel Systeme eingesetzt, die die Maske über ein Abbildungssystem verkleinernd auf den Resist abbilden (Projektionsbelichtung). Wenn das Abbildungssystem als verzeichnungsfrei angenommen wird (keine sphärischen, chromatischen und sonstige Fehler der Projektionsoptik), dann ist die minimal übertragbare Linienbreite durch die Beugung begrenzt und beträgt N A = n sinα l m = 0, 61 mit der numerischen Apertur mit dem Brechungsindex n des Mediums zwischen Objekt und Objektiv ( n = 1 für Vakuum oder auch für Luft) und dem Aperturwinkel α (halber Öffnungswinkel des Objektivs). Für die Tiefenschärfe z gilt dann λ N 2 2N A A λ z = ±, so daß ein Gewinn an Auflösungsvermögen durch Vergrößerung von Verlust an Tiefenschärfe verbunden ist [6]. N A mit einem deutlichen Abbildung 39: Definition der numerischen Apertur [6] Als Beleuchtungsquellen werden üblicherweise Quecksilberdampflampen, die starke Emissionslinien bei 435 nm (g-linie), 405 nm (h-linie) und 365 nm (i-linie) haben, oder Kryptonfluorid-Laser, die Licht mit 248 nm emittieren, verwendet. Mittels Projektionsbelichtung kann man unter Produktionsbedingungen mit der Quecksilberdampflampe Strukturen von 0,35 µm, mit dem Kryptonfluorid-Laser Strukturen von 0,25 µm herstellen. Mittels sogenannter Phasenmasken kann

64 Seite 64 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) man mit dem Kryptonfluorid-Laser auch Strukturbreiten von 0,18 µm herstellen. Dabei wird die Phase des Lichtes, das durch benachbarte Schlitze der Maske hindurchtritt, um 180 gedreht. Dies hat zur Folge, daß das in den Schattenbereich gebeugte Licht destruktiv interferiert und sich somit die beidseitigen Einflüsse des Lichtes auf den unbestrahlten Bereich gerade kompensieren. Damit wird der Bildkontrast (Dosisspielraum) und die Auflösung in der optischen Projektionsbelichtung wesentlich verbessert [7]. In den nächsten Jahren wird ein Wechsel zum Argonfluorid-Laser (193 nm) als Belichtungsquelle die serienmäßige Herstellung von Strukturen von 0,13 µm und 0,1 µm mittels optischer Lithographie ermöglichen [11]. Abbildung 40: Prinzipielle Wirkungsweise von Phasenmasken [7], a) Veränderung des elektromagnetischen Feldes (des Lichtes), b) Fortpflanzung auf die Abbildung, c) resultierendes Bild nach konstruktiver bzw. destruktiver Interferenz Aus den vorherigen Gleichungen ist zu entnehmen, daß die minimal erreichbare Strukturbreite mit der Wellenlänge des verwendeten Lichtes abnimmt (sowohl bei der Schattenprojektion als auch der Projektionsbelichtung). Einen großen Effekt bei der Verringerung der Strukturbreiten verspricht daher der Übergang zu Röntgenstrahlen mit Wellenlängen von 0,5 bis 2 nm. Da es für den Röntgenbereich keine optischen Komponenten (Linsen, Hohlspiegel) gibt, muß die Röntgenstrahllithographie als einfache 1 : 1 Schatttenprojektion mit einem Proximity-Abstand zwischen Maske und Substrat (Proximity-Belichtung) durchgeführt werden. Die Röntgenmasken bestehen aus einer sehr dünnen Trägerfolie, die die durchtretende Röntgenstrahlung möglichst wenig schwächt, und aus Absorberstrukturen, die die Röntgenstrahlung möglichst vollständig absorbieren. Zur sicheren Handhabung der Masken sind die dünnen Trägerfolien über einen stabilen Rahmen gespannt. Die Trägerfolie aus Bornitrid, Siliziumkarbid oder Beryllium darf aufgrund der geforderten Transparenz nur wenige Mikrometer dick sein. Bei den Absorberstrukturen aus Gold, Tungsten oder Wolfram sind Dicken von knapp einem Mikrometer erforderlich, um eine ausreichende Absorption zu erzielen. Bei den vorgesehenen Strukturbreiten von beispielsweise 0,1 µm bedeutet das ein sehr großes Aspektverhältnis der Absorberstrukturen (ungefähr 10). Ein weiteres Problem ist die Erwärmung der Masken aufgrund der absorbierten Röntgenstrahlung, die ein unkontrolliertes Verziehen der Masken verursacht. Die Hauptprobleme der Röntgenlithographie liegen somit in der Maskentechnik, insbesondere die sehr hohen Anforderungen an die Stabilität der Maskenträgerfolien (Verzugsfreiheit) und die Genauigkeit der Justierung. Da auf dem Gebiet der optischen Lithographie in den letzten Jahren wesentliche Verbesserungen erzielt werden konnten, mit denen die Grenze der minimal herstellbaren Strukturen stets zu kleineren Werten verschoben werden konnte, wurde der technische Einsatz der Röntgenlithographie verzögert. Es ist angesichts der technischen Schwierigkeiten zumindest fraglich, ob die Röntgenlithographie in der Halbleiterfertigung jemals großtechnisch zum Einsatz kommen wird, wurden doch die Entwicklungsarbeiten an der Röntgenlithographie weitgehend eingestellt [11].

65 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite 65 Abbildung 41: Prinzipielle Anordnung der Röntgenstrahllithographie mit Synchrotonstrahlung [7] Die höchsten Auflösungen unter Fertigungsbedingungen bis hinunter zu 0,01 bis 0,02 µm werden mit der Elektronenstrahllithografie erzielt. Diese Technik wird, wie bereits erwähnt, zur Herstellung der Masken für die Fotolithographie eingesetzt. Der Nachteil der Elektronenstrahllithographie ist jedoch, daß der fokussierte Elektronenstrahl, durch ein Magnetfeld gesteuert, die Strukturen nacheinander belichtet. Die Elektronenstrahllithographie ist also ein sequentielles Verfahren, bei dem die Belichtung eines Chips relativ lange dauert. (Schreibzeiten von mehreren Stunden pro Chip sind normal.) Eine wirtschaftliche Serienfertigung von Halbleiterchips ist mit einer Belichtung des Resists auf der Chipoberfläche durch Elektronenstrahllithographie nicht möglich. Bei der Herstellung von Prototypen hat dieses Verfahren jedoch seine Anwendung, da man so keine Maske benötigt (Fast Prototyping) Nach welchen Mechanismen läuft die Störstellendiffusion in HL-Kristallen ab? Erläutern Sie die Aussagen der beiden Fickschen Gesetze und die Temperaturabhängigkeit der Diffusion. Beim Diffusionsprozeß müssen räumlich unterschiedliche Konzentrationen der zu diffundierenden Atome vorliegen. In einem thermodynamischen Gleichgewichtsprozeß wandern dabei die Atome infolge eines Konzentrationsgefälles. Als wesentliche Mechanismen der Diffusion im Festkörper sind zu nennen: Diffusion über Zwischengitterplätze und Diffusion über Leerstellen. Der Diffusionsstrom besitzt nach dem ersten Fickschen Gesetz eine Teilchen-Stromdichte als Funktion eines Konzentrationsgefälles: S = D grad ( S Teilchen-Stromdichte; D stoff- und temperaturabhängige Diffusionskonstante; N Konzentration der Diffusanten). Nimmt man anstelle der räumlichen Betrachtung der Teilchen-Stromdichte und der Konzentration nur ein Konzentrations-Profil (statt Raum nur eine Achse), vereinfacht sich das erste Ficksche Gesetz zu S N D x =. Die Diffusionskonstante hängt von den an der Diffusion beteiligten Stoffen und von der Temperatur ab, sie läßt sich mit Hilfe zweier stoffabhängiger Parameter D0 und E a (Aktivierungsenergie) als Funktion der Temperatur T bestimmen: D = 0 D e 23 J ( k Boltzmann-Konstante, k = 1,38 10 K ). Die Diffusion läuft also umso schneller ab, je höher die Temperatur ist. Die Parameter zur Bestimmung einiger wichtiger Dotierelemente in Silizium: E a kt N

66 Seite 66 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) cm Element D0 in 2 s Ea B 14 3,7 Al 5,5 3,4 Ga 4,0 3,5 P 10 3,5 As 0,55 3,6 Sb 10 4,0 in ev Aufgrund der Teilchen-Stromdichte (also der Wanderung der Diffusanten) verändert sich die räumliche Konzentration der Diffusanten. Nach dem zweiten Fickschen Gesetz ändert sich die Konzentration an einem Ort mit einer von der Teilchen-Stromdichte abhängigen Änderungsgeschwindigkeit: N t = div S ( S Teilchen-Stromdichte; N Konzentration der Diffusanten). Nimmt man anstelle der räumlichen Betrachtung der Teilchen-Stromdichte und der Konzentration nur ein Konzentrations-Profil (statt Raum nur eine Achse), vereinfacht sich das zweite Ficksche Gesetz zu N S =. t x 3.14 Wie werden Diffusionsdotierungen mit unendlicher und endlicher Quellergiebigkeit praktisch realisiert? Skizzieren Sie die dabei entstehende erfc- bzw.gauß-verteilung der Störstellenkonzentration im HL-Kristall und die Dotantenausbreitung im Bereich eines Dotierungsfensters. Die Gleichung des ersten Fickschen Gesetzes wird in die Gleichung des zweiten Fickschen Gesetzes eingesetzt, wodurch folgende partielle Differentialgleichung entsteht: Bei einer unendlichen Quellergiebigkeit gilt N t 2 N = D 2 x N = 0 xn = 0, d. h. die Konzentration der Dotieratome ist an der Oberfläche konstant und unabhängig vom Teilchen- Strom an der Oberfläche. Unter der weiteren Randbedingung N = 0 0, xt >= 0, d. h. das Halbleitermaterial enthält anfangs noch keine Dotierung des diffundierenden Stoffes, ergibt sich für die Lösung der partiellen Differentialgleichung N x, = N 0 erfc 2 Dt, wobei 2 erfc z = e 2 ξ 1 dξ. π ( x t) Bei einer endlichen Quellergiebeigkeit gilt N S = D = 0 x = 0, x d. h. kein Quellenmaterial wird nachgeliefert. Das für die Diffusion vorhandene Quellenmaterial sei in Form einer belegten Schicht mit der Dicke d, in der die Diffusanten mit der Konzentration N 00 enthalten sind, es gilt also die weitere Randbedingung N00 für 0 x d N = 0 für x > d t = 0 mit der sich für die Lösung der Differentialgleichung ergibt:. z 0

67 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite 67 die für eine hinreichend dünne Belegung übergeht. Es ist also N N x h x h ( x, t) = erfc erfc h << 2 Dt Dt 2 x N d 4 N 00 Dt ( x, t) e = π Dt x 4 N 0 Dt ( x, t) N ( t) e 2 Dt in die Gaußverteilung =, wobei die resultierende Konzentration an der Oberfläche N 0 gegenüber der Konzentration in der anfangs vorhandenen Belegschicht N 00 bei der Diffusion mit fortschreitender Zeit (mit erschöpflicher Quelle) abfällt: N () t N d πdt 00 0 =. Diffusionsdotierungen mit unendlicher Quellergiebigkeit (unerschöpfliche Quelle) werden durchgeführt, indem die Halbleiteroberfläche während des Diffusionsvorganges einer Atmosphäre ausgesetzt ist, die in einer gasförmigen Verbindung (beispielsweise Phosphin PH 3 oder Diboran B 2 H 6 ) die Dotieratome enthält. Auf diese Weise werden ohne Erschöpfung Diffusanten geliefert. 2, Abbildung 42: Dotierprofile in einem Festkörper [7] durch a) unerschöpfliche Dotierquellen, b) erschöpfliche Dotierquellen Diffusionsdotierungen mit endlicher Quellergiebigkeit (erschöpfliche Quelle) können durchgeführt werden, indem auf die Halbleiteroberfläche ein fester Stoff, der die Dotieratome enthält, wie Lack aufgebracht wird. Die Schicht fungiert meist als erschöpfliche Quelle, da während des Diffusionsvorganges keine Nachlieferung erfolgt. Abbildung 43: Dotantenausbreitung im Bereich eines Dotierungsfensters [13]

68 Seite 68 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) 3.15 Zeigen Sie, wie man durch sequentielle und simultane Eindiffusion von Akzeptoren und Donatoren in n-vordotiertes Si bipolare Transistoren realisiert. Skizzieren Sie die bei der homogenen Vordotierung und der lokalen Dotierung realisierten Störstellenverteilungen. Abbildung 44: Prinzip der Transistorherstellung mittels Planartechnik [13] Abbildung 45: Störstellenprofil (links) und Verlauf der Nettostörstellenkonzentration (rechts) in einem Silizium-Planartransistor [13]

69 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite Erläutern Sie das Verfahrensprinzip der lokalen Dotierung durch Ionenimplantation. Welche Vorteile bzw. besonderen Möglichkeiten hat dieses Verfahren gegenüber der Diffusionsdotierung? Bei der Ionenimplantation werden ionisierte Dotieratome elektrisch beschleunigt und mit Energien von einigen kev bis zu 1 MeV in den Kristall eingeschossen, wobei man Eindringtiefen von 10 nm bis etwa 1 µm erreichen kann [7]. Dabei ergibt sich bei amorphem, polyksristallinen Substrat und unter bestimmten Umständen auch bei einkristallinem Substrat eine Gauß-Verteilung der abgebremsten Ionen im Substrat. Einen Unterschied gibt es bei einkristallinem Substrat dann, wenn das Auftreffen und damit die Eindringrichtung der Ionen exakt (Fehlwinkel < 5 ) mit einer Kristallrichtung übereinstimmt. Die Ionen dringen dann zwischen den Gitterebenen relativ weit in den Kristall ein (Channeling). Oberflächeneffekte, wie z. B. dünne Oxidschichten und Störungen des Einkristalls wie Versetzungen, beeinflussen Channeling-Effekte stark. Da Channeling-Effekte bei der technischen Anwendung der Ionenimplanatation unerwünscht sind, verhindert man sie durch hinreichende Kippung des Substrates gegen den Ionenstrahl [6]. Übliche Ionen, die in der Halbleiterfertigung verwendet werden, sind Bor-, Phosphor- und Arsen-Ionen. Sauerstoff und Fluor gelten als Sonderionen. Aufgrund der Ähnlichkeit eines Ionenimplanters mit einem Massenspektrometers werden nur selektierte Dotieratome des Dotiermaterials implantiert, jedoch keine Verschmutzungen des Dotiermaterials. Ionenimplanter werden immer dort eingesetzt, wo präzise und niedrige Dotierungen bis etwa Ionen/cm 3 erreicht werden sollen. Für höhere Dotierungen und vergleichweise grobe Aufgaben sind wiederum Diffusionsöfen von Vorteil. Da die eingeschossenen Ionen meist auf beliebigen Zwischengitterplätzen im Wirtskristall landen, schließt sich nach jeder Implantation ein Temperprozeß an. Dabei werden die Dotieratome in ihre vorgesehenen Gitterplätze eingebaut und so elektrisch aktiviert. Die thermische Ausheilung wird bei Silizium üblicherweise bei Temperaturen zwischen 900 C und 1000 C durchgeführt. Gebräuchliche Temperzeiten bewegen sich zwischen 10 und 30 Minuten. Abbildung 46: Implantationsanlage, schematisch [6] Mit der Ionenimplantation lassen sich unabhängig von Löslichkeitsgrenzen und thermodynamischen Gleichgewichten praktisch alle gewünschten Dotierprofile mit fast allen Elementen erzeugen, auch Dotierprofile, die ihr Maximum unter der Substratoberfläche haben (vergrabene Profile). Ein Nachteil der Ionenimplantation ist die Verschmutzung der Scheibe durch den Ionenstrahl. Der beschleunigte Ionenstrahl hat eine erhebliche Sputterwirkung an den Blenden und sonstigen Inneneinrichtungen der Anlage. Somit schlägt sich auch ungewünschtes Fremdmaterial auf dem Wafer nieder. Abhilfe schafft eine Umgestaltung der Strahlführung: alle Komponenten, die mit dem Ionenstrahl in Berührung kommen, müssen wie der Wafer aus hochreinem Silizium bestehen [7].

70 Seite 70 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) 3.17 Welche Verfahrensschritte sind nach dem Einbringen aller Dotierungen in eine HL- Scheibe noch bis zur endgültigen Fertigstellung der integrierten Schaltkreise im Scheibenverband zu durchlaufen (Ziele und Realisierung)? Nach Einbringen der Dotierungen durchlaufen die Wafer folgende Arbeitsschritte: - Erzeugen der Primärpassivierung. Dies erfolgt (bei Si-Substrat) durch Feuchtoxidation, es bildet sich dabei SiO 2. - Erzeugen einer Metallisierung, die Einzelemente des Chips verbindet und auch als Kontaktierfläche beim späteren Drahtbonden dient. In die vorher aufgebrachte SiO 2 -Schicht werden Fenster hineingeätzt, um elektrische Verbndungen mit den Einzelelementen des Chips zu schaffen. Danach wird die Metallisierung aufgedampft (meist Aluminium). Diese Metallschicht wird dann beispielsweise durch eine vor dem Metallisieren aufgebrachte belichtete und entwickelte, strukturierte Fotolackschicht durch Abheben strukturiert (Lift-Off-Technik). - Erzeugen der (optionalen) Sekundärpassivierung, die letztlich die Chipoberfläche vor Umwelteinflüssen schützen soll 23. Dies erfolgt durch einen Abscheidungsprozeß aus der Gasphase (CVD- oder PVD-Verfahren). Nachträglich wird diese Schicht strukturiert, d. h. es werden Fenster für die Kontaktierflächen für das Drahtbonden geöffnet (Bondinseln). Die Chips werden anschließend durch Zersägen oder Ritzen und Brechen voneinander getrennt Warum nimmt die Ausbeute mit wachsender Chipfläche (VLSI) ab und welche Anforderungen an die Prüftechnologie insgesamt und an die Endprüfung nach dem Zyklus I ergeben sich daraus? Alle Prozeßschritte des Zyklus I sind empfindlich gegenüber Staubpartikeln, weshalb sich die Herstellung von Halbleitern in (staubarmen) Reinsträumen abspielt. Ein auf dem Wafer abgesetztes Staubkorn führt mit großer Wahrscheinlichkeit zu einer fehlerhaften Schaltung. Unter gleichen Umweltbedingungen steigt diese Wahrscheinlichkeit mit steigender Chipfläche pro Schaltung und mit sinkenden Strukturgrößen. Da die Ausbeute (Yield) an fehlerfreien ICs wesentlich die Herstellungskosten pro fehlerfreien ICs wegen der Umlegung Kosten der vergeblich herstellten, fehlerhaften ICs auf die fehlerfreien ICs bestimmt, muß die Ausbeute möglichst hoch gehalten werden. Die Reinsträume sind nach Staubfreiheit klassifiziert. Damit die Ausbeute bei VLSI-ICs ähnlich hoch wie bei niedriger integrierten ICs ist, muß für die Herstellung hochintegrierter ICs Reinsträume mit entsprecheder Klassifizierung verwendet werden. Die mit der Integration wachsende Komplexität verkompliziert auch die Prüfung der einzelnen ICs im Waferverbund am Ende des Zyklus I. Da die für die Prüfung benötigte Zeit den Preis eines ICs mitbestimmt, enthalten viele VLSI-Schaltkreise Schaltungsteile, die ausschließlich einem Test dienen und die Prüfung unterstützen. In wenigen Fällen können ICs, bei denen bestimmte Teilfunktionen fehlerhaft sind mit geänderter Drahtbondung und eventuell in einem anderen Gehäuse mit weniger Pins als eigenständige IC- Typen verkauft werden Die Oberfläche ist aber nach wie vor sehr empfindlich, beispielsweise gegenüber Zerkratzen. 24 Beispielsweise Uhren-ICs für Wecker, bei denen zwar Oszillator und Teiler, aber die Snooze- Funktion nicht funktioniert oder aber Prozessoren mit integrierten Coprozessoren, wobei der fehlerhafte Coprozessor durch eine spezielle Drahtbondvariante ausgeschaltet wird.

71 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite 71 Basistechnologien integrierter Schaltkreise 3.19 Skizzieren Sie den Querschnitt eines integrierten npn-vertikaltransistors und eines integrierten n-kanal-mosfet vom Anreicherungstyp, erläutern Sie kurz deren Funktionsweise und begründen Sie, warum dies die beiden bevorzugten Transistortypen in bipolaren bzw. unipolaren Schaltkreisen sind. Abbildung 47: npn-vertikaltransistor (links), n-kanal-mosfet als Anreicherungstyp (rechts) [14] In den meisten Fällen wird ein Bipolartransistor so betrieben, daß die Diode, die durch den pn- Übergang zwischen Kollektor und Basis (Kollektordiode) gebildet wird, gesperrt ist und die Diode, die durch den pn-übergang zwischen Basis und Emitter gebildet wird, leitet. Der Transistor ist so aufgebaut, daß beide pn-übergänge dicht beieinander angeordnet sind (Basisschichtdicke zwischen einigen zehntel Mikrometer bis einige Mikrometer). Bei einem npn-transistor fließen Elektronen vom Emitter in das Basisgebiet (Injektion von Minoritätsträgern in die Basis). Ein Teil dieser Minoritätsträger rekombiniert mit den dort vorhandenen Defektelektronen (Majoritätsträger), es fließt ein Basisstrom. Da der Basis/Kollektor-Übergang nahe am Emitter/Basis-Übergang liegt, erreicht ein großer Teil der in die Basis injizierten Elektronen durch Diffusion, teilweise auch durch Drift die Sperrschicht des Basis/Kollektor-Übergangs und wird durch die anliegende Sperrspannung zum Kollektoranschluß abgesaugt. Es fließt also infolge eines Basistromes ein Kollektorstrom [12]. Bei MOSFETs vom Anreicherungstyp ist die Drain-Source-Strecke ohne Gatespannung (gegenüber dem Substrat) hochohmig. Der Grund dafür sind die beiden pn-übergänge am Rand der Drain- bzw- Sourceinsel, die zwei entgegengsetzt in Reihe geschaltete Dioden darstellen. Bei einer positiven Gatespannung (gegenüber dem Substrat) verdrängt das elektrische Feld des Gates mit positivem Potential infolge von Influenz die Defektelektronen der p-dotierten Oberfläche, die direkt unter der Gateisoierung liegt (d. h. es zieht Elektronen an). Ist die Gatespannung groß genug, dann bildet sich so beginnend an der Oberfläche des p-substrates unter der Gateelektrode durch die Influenz ein n- Kanal von der Drain- zur Sourceinsel. Da die sperrenden pn-übergänge so nicht mehr wirksam sind, wird die Drain-Source-Strecke niederohmig, es kann ein Strom von Drain nach Source fließen. N-Kanal-MOSFETs und npn-bipolartransistoren sind die bevorzugten Typen von Transistoren, da Elektronen im Silizium eine etwa doppelt so hohe Beweglichkeit haben als Löcher (Defektelektronen), so daß sich kürzere Schaltzeiten (und höhere Transitfrequenzen) als bei p-kanal-mosfets bzw. bei pnp-transistoren ergeben Welche passiven Schaltelemente können in HLB- Schaltkreise integriert werden und wie werden sie realisiert? Warum spielen sie in der HL-Technik nur eine untergeordnete Rolle? In monolithischen Halbleiterschaltkreisen können an passiven Einzelelementen nur Widerstände und Kapazitäten integriert werden. Induktivitäten lassen sich praktisch nicht herstellen. Zur Herstellung von Widerständen wird in der Regel die Basisdiffusion der npn-transistoren mitverwendet (p-typ-widerstände). Für sehr niederohmige Widerstände (Größenordnung einige LVW wegen der etwa 1000-fach höheren Dotierungsdichte die Emitterdiffusion vorteilhafter (n-typ- Widerstände). Der wirtschaftlich herstellbare Widerstandsbereich ist meist auf Werte von 1 ELV 20N EHJUHQ]W$EVROXWWROHUDQ]HQOLHJHQLQGHU*Uößenordnung von ±10%, Relativtoleranzen (zwischen Widerständen auf einem Chip) sind dagegen erheblich kleiner (±1... 2%). Da mit dem Widerstandswert die dafür benötigte Chipfläche steigt, sind große Widerstände unwirtschaftlich. Falls hohe Forderungen an die Genauigkeit, Linearität, an den TK und an niedrigem Rauschen bestehen, werden Dünnschichtwiderstände (Metallfilm < 1 µm dick) auf die SiO 2 -Oberflächenschicht des Siliziumchips aufgedampft. Das erfordert aber zusätzliche Prozeßschritte und verteuert den Schaltkreis [9]. Zur Herstellung integrierter Kapazitäten bestehen folgende Möglichkeiten:

72 Seite 72 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) - MOS-Kapazität (typische Werte C < 200 pf) und - Sperrschichtkapazität eines gesperrten pn-übergangs (typische Werte C < 100 pf). Die Absoluttoleranzen der herstellbaren Kapazitätswerte liegen im Bereich von ±20%, die Relativtoleranzen eng benachbarter Kondensatoren betragen nur etwa 2%. Auch bei den Kapazitäten steigt die benötigte Fläche mit dem Kapazitätswert. Bei hohen Anforderungen hinsichtliche der Toleranzen und eines niedrigen Verlustfaktors können analog zu den Widerständen Dünnschichtkondensatoren durch Aufdampfen zweier durch ein Dielektrikum getrennter Metallschichten auf die Chipoberfläche hergestellt werden [9]. Abbildung 48: Struktur monolithisch integrierter Bauelemente Kondensator, Widerstand, npn-transistor [9] Abbildung 49: Integrierte Kondensatoren [9], a) MOS-Kondensator; b) Sperrschichtkondensator, C 1 ist parasitäre Kapazität (Sperrschichtkapazität zwischen epitaktischem n-gebiet und p-substrat), 1 mil = 0,001" = 25,4 µm Schlecht monolithisch integrierbare passive Einzelelemente erzwingen in der Halbleitertechnik Schaltungskonzepte, in denen nur eine minimale Anzahl an möglichst niederohmigen Widerständen und kleine Kapazitätswerte integriert werden müssen und Induktivitäten ganz vermieden werden: Lastwiderstände werden durch Widlar-Stromspiegelschaltungen nachgebildet, das Verhalten großer Widerstände und Kondensatoren kann durch Widerstands-Transformation mit Hilfe eines Emitterfolgers nachgebildet werden, Schaltungen mit Reaktanzen werden durch aktive Filterschaltungen ersetzt [8]. So können passive Einzelelemente durch (möglicherweise sehr aufwendige, aber gut integrierbare) andere Schaltungen ersetzt werden.

73 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite Wie werden in bipolaren und unipolaren Schaltkreisen die einzelnen Schaltelemente voneinander isoliert? Erläutern und diskutieren Sie die verschiedenen Isolationstechniken einschließlich der SOI-Technik. Die in integrierten Schaltkreisen eingesetzten Isolationstechniken lassen sich grob in folgende Gruppen einteilen: Sperrschichtisolation Die Funktionselemente sind durch für die Isolation hergestellte pn-übergänge untereinander und zum Substrat hin isoliert. Hierfür muß durch schaltungstechnische Maßnahmen sichergestellt werden, daß diese Isolations-pn-Übergänge alle gesperrt sind (am Substrat muß die negativste in der Schaltung auftretende Spannung liegen). ICs dieser Isolationsmethode lassen sich einfach und billig herstellen, nachteilig ist aber die relativ große parasitäre Sperrschichtkapazität der Isolation bis zu einigen pf und die gegebenenfalls nicht mehr vernachlässigbaren Leckströme. Ein weiterer Nachteil ist der relativ hohe Flächenbedarf für die Isolation, weshalb die Sperrschichtisolation für hohe Integrationsdichten ungeeignet ist. Dielektrische Isolation Jedes Funktionselement ist durch eine isolierende Schicht vom Siliziumsubstrat und von den übrigen Einzelementen isoliert. Als Isolationsmaterial dient vor allem SiO 2 sowie Luft bzw. Trennfugen. Die Isolation ist gegenüber der pn-sperrschichtisolation wesentlich besser (niedrigere parasitäre Kapazitäten) und eignet sich aufgrund geringen Platzbedarfs auch für hohe Integrationsdichten, ist aber teuer in der Herstellung. Dielektrische Isolationsverfahren sind im einzelnen [12]: Oxid-Isolation. Bei der Oxid-Isolation erfolgt die laterale Oxidation der einzelnen Funktionselemente durch SiO 2 -Schichten. Diese werden durch selektive, lokale Oxidation der Siliziumoberfläche erzeugt, wobei durch geeignete Maskierungs- und Strukturierungsschritte erreicht wird, daß das Oxid praktisch in das Substrat hineinwächst. Auf diese Weise entsteht eine ebene Oberfläche. Ein typisches Beispiel für eine Oxid-Isolation ist das LOCOS-Verfahren 25. Verwandte Ausführungen sind z. B. das PLANOX- und das Isoplanarverfahren. SOS-Technik 26 (Silicon On Sapphire). Auf einem Saphir-Substrat (einkristallines Al 2 O 3 ), wird epitaktisch eine n-schicht erzeugt. Durch Wegätzen bestimmter Teile des Siliziums entstehen isolierte Inseln, die nur durch das hochohmige Saphir-Substrat miteinander verbunden sind. Die SOS-Technik ist sehr teuer in der Herstellung wegen des Substrates und des hohen technologischen Aufwandes in Zyklus 0. Die SOS-Technik wird insbesondere in Verbindung mit der CMOS-Technik für die Herstellung sehr schneller und extrem leistungsarmer digitaler ICs angewendet. In Verbindung mit der Bipolartechnik ist das SOS-Verfahren nicht sinnvoll. V-ATE-Verfahren (Vertical Anisotropic Etching). Beim V-ATE-Verfahren wird die stark ausgeprägte Richtungsabhängigkeit (Anisotropie) des Ätzvorganges mit bestimmten Ätzmitteln ausgenutzt. Im Silizium mit einer (100)- Kristallorientierung entstehen dadurch V-förmige Gruben mit einem feststehenden, von der Kristallorientierung vorgegebenen Neigungswinkel. (Der Öffnungswinkel beträgt bei einem Wafer mit einer (100)-Kristallorientierung 70.) Somit hängt die Grubentiefe nur von der Fensterweite in der SiO 2 -Ätzmaske ab und kann genau vorgegeben werden. Einzelne Funktionselemente werden durch solche hinreichend tiefe Gräben getrennt. Das V-ATE- Verfahren eignet sich für bipolare und unipolare ICs. Die V-ATE-Technik hat den Nachteil, daß bei der anschließenden Metallisierung der Leiterbahnen, die die einzelnen Funktionselemente des Chips verbinden, die Gräben mit ihren scharfen Kanten die Gefahr von Mikrorissen bei der sonst üblichen Al-Metallisierung verursacht, weshalb eine Pt-Ti-Au-Legierung verwendet werden muß. Eine Variante des V-ATE-Verfahrens ist das VIP-Verfahren (V-Isolation wih Polysilicon Backfill), bei der die Gräben vor dem Metallisieren mit polykristallinen SiO 2 aufgefüllt werden, um eine völlig glatte Oberfläche zu erhalten. 25 Die Beschreibung des LOCOS-Verfahrens würde hier zu weit führen. 26 Die SOS-Technik ist ein Vertreter der SOI-Technik (Silicon on Insulator). SOI-Technik heißt ganz allgemein die Verwendung eines isolierenden Substrates, auf dem mittels CVD-Verfahren (wozu auch die Epitaxie gehört) elektrisch leitenden Schichten aufgewachsen werden.

74 Seite 74 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Beam-Lead-Technik. Die Funktionselemente der integrierten Schaltungsanordnung werden durch völliges Wegätzen des zwischen ihnen liegenden Substrates getrennt (Luftisolation). Die vorher galvanisch verstärkten metallischen Leiterbahnen bilden den Träger für die Funktionselemente. Bei der Beam-Lead-Technik bietet es sich an, die Leiterbahnen des Chips etwas über den Chiprand hinaus zu verlängern, und den Chip mit der Oberseite nach unten (Face-Down-Montage) mittels eines Simultankontaktierverfahrens mit einem geeigneten Hybridträger zu verbinden (Multichip-Hybridmodul). a) b) c) d) Abbildung 50: Isolationstechniken [12], a) Sperrschichtisolation (1 p-substrat; 2 n-epitaxieschicht; 3 p+- Sperrschichtzäune; 4 n-insel), b) Oxid-Isolation, Oxidisolierter Bipolatransistor (1 p-substrat; 2 begrabenes Kollektorgebiet; 3 n-epitaxieschicht; 4 SiO 2 -Isolationsgebiete; 5 Passivierung; 6 Metallkontakte), c) SOS-Technik, CMOS-Bauelementestruktur (1 Saphirsubstrat; 2 Silizium- Epitaxieschicht; 3 n-kanal-transistor; 4 p-kanal-transistor; 5 Trennfugen; 6 Source; 7 Gate; 8 Drain; 9 SiO 2 -Isolation), d) V-ATE-Verfahren, Bipolartransistor mit V-ATE-Isolation (1 Substrat; 2 n- Epitaxieschicht; 3 SiO 2 -Isolation; 4 Trennfugen in V-Form; 5 Basis; 6 Emitter; 7 Kollektor) 3.22 Erläutern Sie die Fertigung eines integrierten npn-transistors in konventioneller Bipolartechnik (SBC-Technik). Gehen Sie insbesondere auf die Isolation der einzelnen Transistoren voneinander und die Realisierung eines minimalen Kollektorbahnwiderstandes ein. Die Fertigung eines npn-transistors in SBC-Technik (SBC: Standard Buried Collector) [6]: Ausgangspunkt ist p-dotiertes Si-Substrat. Die Scheibe wird oxidiert, die SiO 2 -Schicht wird jedoch durch Fotolithographie und Ätzen lokal wieder geöffnet. Durch dieses Fenster der als Maske fungierenden SiO 2 -Schicht wird durch Diffusion ein n+-gebiet hergestellt, das später als vergrabene Leitschicht den Kollektorwiderstand wesentlich vermindert. Die SiO 2 -Schicht der vorangegangenen Verfahrensschritte wird entfernt und durch Epitaxie eine (einkristalline) n-dotierte Schicht aufgewachsen. Die Si-Oberfläche wird wiederum oxidiert.

75 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) Seite 75 Durch Fotolithographie und Ätzen wird die SiO 2 - Maske für die Isolationsrahmen (Sperrschichtisolation) teilweise geöffnet. Mittels Diffusion werden die p+-isolationsrahmen hineindiffundiert, die durch die epitaktische n- Schicht bis zum p-substrat reichen (Isolationsdiffusion). Die Si-Oberfläche an den Öffnungen der Maske werden oxidiert, wodurch die SiO 2 -Maske wieder geschlossen ist. Durch Fotolithographie und Ätzen wird nun ein Fenster für die Diffusion der Basisschicht in der SiO 2 -Maske geöffnet und mittels Diffusion das p-basisgebiet erzeugt (Basisdiffusion). Die SiO 2 -Maske wird nun nochmals durch Oxidation wieder geschlossen und dann mittels Fotolithographie und Ätzen zwei Fenster für den Kollektor und Emitter geöffnet. Durch Diffusion werden n+-gebiete erzeugt, ein Gebiet für den (für die integrierte Schaltungstechnik hochgezogenen) Kollektor, das andere Gebiet für den Emitter (Emitterdiffusion). Die Prozeßführung muß für eine möglichst dünne resultierende Basisschicht sorgen, das heißt die Emitterdiffusion darf nur etwas weniger tief als die Basisdiffusion wirken, keinesfalls jedoch tiefer. Jetzt wird die Si-Oberfläche nochmals oxidiert (Dick-Oxid-Wachstum), es entsteht so eine geeignete Passivierungsschicht. Diese SiO 2 - Schicht wird mittels Fotolithographie und Ätzen für die drei Kontakte des Transistor wieder geöffnet, und die Oberfläche metallisiert (typischerweise Al) und das Metall strukturiert (Leiterbahnen) Erläutern Sie die Fertigung eines integrierten n-kanal-mosfet vom Anreicherungstyp in herkömmlicher Unipolar- d.h. Metalltortechnik. Wie werden die Transistoren hier voneinander isoliert? Welchen Vorteil brachte der Übergang von der Diffusions- zur Implantationsdotierung? Die Fertigung eines n-kanal-mosfets mit Metallgate, Anreicherungstyp (ohne Ionenimplantation, nur mittels Diffusion) [6]: Ausgangspunkt ist p-dotiertes Si-Substrat. Die Scheibe wird oxidiert, die SiO 2 -Schicht wird jedoch durch Fotolithographie und Ätzen lokal an zwei Stellen wieder geöffnet. Durch diese Fenster der als Maske fungierenden SiO 2 -Schicht wird durch Diffusion zwei n+-gebiete, die Source- und Drain-Gebiete, hergestellt (Sourceund Drain-Dotierung) Die Si-Oberfläche an den Öffnungen der Maske wird durch SiO 2 wieder geschlossen. Hierfür wird ein kalter Prozeß benutzt 27, Aufsputtern oder pyrolytische Oxidation. Durch Fotolithographie und Ätzen wird nun ein Fenster für die Diffusion der Basisschicht in der SiO 2 -Maske geöffnet und 27 Damit kann die Ausdiffusion von Gate und Drain in Grenzen gehalten werden.

76 Seite 76 Fertigungstechnik Halbleitertechnologie (Halbleiterblocktechnik) durch hochreine trockene Oxidation das Gate- Oxid (20 bis 80 nm stark) erzeugt. Durch Fotolithographie und Ätzen werden nun Kontaktfenster zu Source und Drain geöffnet. Anschließend wird eine Maske aus Fotolack aufgebracht und eine Metallschicht (Al oder Al-Si) aufgedampft und im Lift-Off-Verfahren strukturiert. Bei der Herstellung von MOSFETs mittels Diffusionsverfahren muß das Gatemetall die dotierten Drain- und Sourcegebiete aufgrund der Toleranzen der Fotolithographie überlappen (etwa 5 µm). Dies führt zu relativ großen parasitären Kapazitäten zwischen Gate Source und Gate Drain. Die Kapazitäten sind schaltungstechnisch unerwünscht, besonders die Gate-Drain-Kapazität, da diese Kapazität über den Miller-Effekt dynamisch vergrößert wird, wenn der Transistor in der Sourceschaltung eingesetzt wird (solche Verstärker scheinen dann eine sehr große Eingangskapazität zu haben). Mittels der Ionenimplantation läßt sich ein MOSFET herstellen, bei dem die Gateelektrode nicht das Source und Draingebiet überlappt [15]: Die obigen Schritte werden für einen solchen MOSFET ebenfalls ausgeführt mit dem Unterschied, daß die Gateelektrode so schmal ausgeführt wird, daß sie die n+-gebiete nicht überlappt. Mittels Ionenimplantation werden nun die Drainund Source-Gebiete vervollständigt, wobei die Metallisierung der Gateelektrode als Maske wirkt. Somit gibt es beim fertigen MOSFET praktisch keine Überlappung. Mehrere MOSFETs vom gleichen Typ (d. h. n-kanal oder p-kanal-typen), die gemeinsam in einem (grunddotierten Substrat) hergestellt wurden, sind selbstisolierend, d. h. die Transistoren sind durch die um die Drain- und Sourcegebiete liegende Sperrschicht untereinander isoliert (weil zwischen den Transistoren keine elektrischen Felder von Gateelektroden vorkommen), es bedarf dabei keiner gesonderten Prozeßschritte zur Isolation der einzelnen MOSFETs Geben Sie die Stärken und Schwächen der konventionellen Bipolartechnik an. Welche Fortschritte wurden im wesentlichen mit den später entwickelten Bipolarvarianten CDI-, TRIM-, Isoplanar- und VIP-Verfahren erreicht? Die größten Schwächen der konventionellen Bipolartechnik (SBC-Technik) sind: - der große Platzbedarf der Sperrschicht-Isolationsrahmen, die durch die gesamte epitaktische Schicht hindurch reichen. Durch die bei der Herstellung benutzte Diffusion wird durch die dreidimensionale Ausbreitung der Diffusionsgebiete relativ viel Platz für die Diffusionsrahmen benötigt. - die relativ großen parasitären Kapazitäten der Sperrschicht-Isolation (zwischen den Funktionselementen und auch zwischen den Funktionselementen und Substrat). Bei der CDI-Technik (CDI: Collector Diffusion Isolation) grenzt dagegen die vergrabene Kollektorschicht 28 direkt an die Basisschicht, und die hochgezogene Kollektorschicht (die als Rahmen ausgeführt sein muß) wirkt gleichzeitig als (Sperrschicht-) Isolator zu anderen Funktionselementen. Das bewirkt folgende Vorteile der CDI-Technik im Vergleich zur SBC-Technik: 28 Aus diesem Grund lassen sich mit der CDI-Technik keine Transistoren herstellen, die gleichzeitig einen hohe Kollektor-Emitter-Sperrspannung und einen niedrigen Kollektor-Bahnwiderstand haben.

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Platinen ätzen mit der "Direkt-Toner-Methode"

Platinen ätzen mit der Direkt-Toner-Methode Page 1 of 9 Platinen ätzen mit der "Direkt-Toner-Methode" > This page in english < Manchmal würde man schnell mal eben eine Platine ätzen. Das ist leider nicht so einfach. Insbesondere beim belichten kann

Mehr

Oxidation und Reduktion Redoxreaktionen Blatt 1/5

Oxidation und Reduktion Redoxreaktionen Blatt 1/5 Oxidation und Reduktion Redoxreaktionen Blatt 1/5 1 Elektronenübertragung, Oxidation und Reduktion Gibt Natrium sein einziges Außenelektron an ein Chloratom (7 Außenelektronen) ab, so entsteht durch diese

Mehr

Katalysatoren - Chemische Partnervermittlung im virtuellen Labor

Katalysatoren - Chemische Partnervermittlung im virtuellen Labor Seite 1 von 6 Katalysatoren - Chemische Partnervermittlung im virtuellen Labor Katalysatoren Der Katalysator in der Großindustrie Was passiert im Inneren? Das virtuelle Labor. Katalysatoren Katalysatoren

Mehr

Leiterplatten selbst fertigen

Leiterplatten selbst fertigen Leiterplatten selbst fertigen Ausgangspunkt Anleitungen zur Leiterplattenfertigung existieren sicherlich schon in ausreichendem Umfang. An dieser Stelle möchte ich nur kurz die von mir verwendeten Verfahren

Mehr

Erstellen einer Collage. Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu])

Erstellen einer Collage. Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu]) 3.7 Erstellen einer Collage Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu]) Dann Größe des Dokuments festlegen beispielsweise A4 (weitere

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

EMS Anforderungen Für die optimale Planung und Fertigung von elektronischen Baugruppen

EMS Anforderungen Für die optimale Planung und Fertigung von elektronischen Baugruppen EMS Anforderungen Für die optimale Planung und Fertigung von elektronischen Baugruppen AUTRONIC Steuer und Regeltechnik GmbH Siemensstraße 17 D 74343 Sachsenheim Phone: +49(0)7147/24 0 Fax: +49(0)7147/24

Mehr

Musterprüfung Chemie Klassen: MPL 09 Datum: 14. 16. April 2010

Musterprüfung Chemie Klassen: MPL 09 Datum: 14. 16. April 2010 1 Musterprüfung Chemie Klassen: MPL 09 Datum: 14. 16. April 2010 Themen: Metallische Bindungen (Skript S. 51 53, inkl. Arbeitsblatt) Reaktionsverlauf (Skript S. 54 59, inkl. Arbeitsblatt, Merke, Fig. 7.2.1

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Design-Richtlinie für flexible Leiterplatten

Design-Richtlinie für flexible Leiterplatten DE Ihr Fachverband für Design, Leiterplatten- und Elektronikfertigung e. V. FED e. V. - Ihr Fachverband für Design, Leiterplattenund Elektronikfertigung Alte Jakobstraße 85/86 10179 Berlin http://www.fed.de

Mehr

Festigkeit von FDM-3D-Druckteilen

Festigkeit von FDM-3D-Druckteilen Festigkeit von FDM-3D-Druckteilen Häufig werden bei 3D-Druck-Filamenten die Kunststoff-Festigkeit und physikalischen Eigenschaften diskutiert ohne die Einflüsse der Geometrie und der Verschweißung der

Mehr

PATENTANMELDUNG. mt ci s H05K 3/42

PATENTANMELDUNG. mt ci s H05K 3/42 Europäisches Patentamt European Patent Office Office europeen des brevets Veröffentlichungsnummer: 0 599 1 22 AI EUROPAISCHE PATENTANMELDUNG Anmeldenummer: 93118077.2 mt ci s H05K 3/42 @ Anmeldetag: 08.11.93

Mehr

Ätzen von Platinen. Stephan Matz Betreuer: Ulrich Pötter

Ätzen von Platinen. Stephan Matz Betreuer: Ulrich Pötter Ätzen von Platinen Stephan Matz Betreuer: Ulrich Pötter Gliederung 1. Ätzverfahren 2. Ätzbare Materialien 3. Ätzmittel 4. Gefahren beim Ätzen 5. Beispiele für Ätzteile 6. Vorteile des Ätzverfahrens 7.

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

FH-D. Projekt: Gussteil 2006/2007

FH-D. Projekt: Gussteil 2006/2007 FH-D Projekt: Gussteil 2006/2007 Grundlagen SANDGUSS Je nach Sandkörnung kann man unterschiedliche Oberflächen erzeugen. Der Sand kann zusätzlich die Flusseigenschaften des Metalls unterstützen, d.h. nicht

Mehr

Herstellen von Platinen

Herstellen von Platinen Herstellen von Platinen TU Berlin Projektlabor WS 2009/10 Betreuer: Michael Schlüter Referent: Dmitrij Rosenthal 1. Einleitung Aufgabe: - mechanische und elektrische Verbindung verschiedener elektronischer

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

Kapitel 13: Laugen und Neutralisation

Kapitel 13: Laugen und Neutralisation Kapitel 13: Laugen und Neutralisation Alkalimetalle sind Natrium, Kalium, Lithium (und Rubidium, Caesium und Francium). - Welche besonderen Eigenschaften haben die Elemente Natrium, Kalium und Lithium?

Mehr

Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers

Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers Ist Excel das richtige Tool für FMEA? Einleitung Wenn in einem Unternehmen FMEA eingeführt wird, fangen die meisten sofort damit an,

Mehr

UTM. UTM steht als Kurzbegriff für Ultra-Thin-Multilayerboards

UTM. UTM steht als Kurzbegriff für Ultra-Thin-Multilayerboards UTM UTM steht als Kurzbegriff für Ultra-Thin-Multilayerboards Als UTM werden Multilayer-Bautypen klassifiziert, wenn deren Innenlagen ausschließlich aus Laminaten mit 50µm Materialdicke (oder weniger)

Mehr

Einführung in die optische Nachrichtentechnik. Herstellung von Lichtwellenleitern (TECH)

Einführung in die optische Nachrichtentechnik. Herstellung von Lichtwellenleitern (TECH) TECH/1 Herstellung von Lichtwellenleitern (TECH) Dieses Kapitel behandelt drei verschiedenen Verfahren zur Herstellung von Vorformen für Glasfasern: das OVD-Verfahren (outside vapour deposition), das VAD-Verfahren

Mehr

Zwischenablage (Bilder, Texte,...)

Zwischenablage (Bilder, Texte,...) Zwischenablage was ist das? Informationen über. die Bedeutung der Windows-Zwischenablage Kopieren und Einfügen mit der Zwischenablage Vermeiden von Fehlern beim Arbeiten mit der Zwischenablage Bei diesen

Mehr

Kapiteltest 1.1. Kapiteltest 1.2

Kapiteltest 1.1. Kapiteltest 1.2 Kapiteltest 1.1 a) Perchlorsäure hat die Formel HClO 4. Was geschieht bei der Reaktion von Perchlorsäure mit Wasser? Geben Sie zuerst die Antwort in einem Satz. Dann notieren Sie die Reaktionsgleichung.

Mehr

GEVITAS Farben-Reaktionstest

GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest Inhalt 1. Allgemeines... 1 2. Funktionsweise der Tests... 2 3. Die Ruhetaste und die Auslösetaste... 2 4. Starten der App Hauptmenü... 3 5. Auswahl

Mehr

Technologietag. Spezielle Leiterplatten-Technologien 23.04.09

Technologietag. Spezielle Leiterplatten-Technologien 23.04.09 Technologietag Spezielle Leiterplatten-Technologien 1 Was kommt alles aus der Schweiz? Schokolade Uhren 2 und natürlich 3 Leiterplatten!!! 4 Willkommen in der Welt der Hoch-Technologie-Leiterplatten 5

Mehr

Anleitung über den Umgang mit Schildern

Anleitung über den Umgang mit Schildern Anleitung über den Umgang mit Schildern -Vorwort -Wo bekommt man Schilder? -Wo und wie speichert man die Schilder? -Wie füge ich die Schilder in meinen Track ein? -Welche Bauteile kann man noch für Schilder

Mehr

Organische Chemie I Chemie am 16.11.2012. Inhaltsverzeichnis Lewisformeln von Kohlenstoffverbindungen korrekt zeichnen!... 2

Organische Chemie I Chemie am 16.11.2012. Inhaltsverzeichnis Lewisformeln von Kohlenstoffverbindungen korrekt zeichnen!... 2 Organische Chemie I Inhaltsverzeichnis Lewisformeln von Kohlenstoffverbindungen korrekt zeichnen!... 2 Verstehen was Organische Chemie heisst und die Entstehung von Kohlenstoffverbindungen kennen!... 2

Mehr

Chemie Zusammenfassung KA 2

Chemie Zusammenfassung KA 2 Chemie Zusammenfassung KA 2 Wärmemenge Q bei einer Reaktion Chemische Reaktionen haben eine Gemeinsamkeit: Bei der Reaktion wird entweder Energie/Wärme frei (exotherm). Oder es wird Wärme/Energie aufgenommen

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

Grundlagen der Theoretischen Informatik, SoSe 2008

Grundlagen der Theoretischen Informatik, SoSe 2008 1. Aufgabenblatt zur Vorlesung Grundlagen der Theoretischen Informatik, SoSe 2008 (Dr. Frank Hoffmann) Lösung von Manuel Jain und Benjamin Bortfeldt Aufgabe 2 Zustandsdiagramme (6 Punkte, wird korrigiert)

Mehr

2.8 Grenzflächeneffekte

2.8 Grenzflächeneffekte - 86-2.8 Grenzflächeneffekte 2.8.1 Oberflächenspannung An Grenzflächen treten besondere Effekte auf, welche im Volumen nicht beobachtbar sind. Die molekulare Grundlage dafür sind Kohäsionskräfte, d.h.

Mehr

Informationsblatt Induktionsbeweis

Informationsblatt Induktionsbeweis Sommer 015 Informationsblatt Induktionsbeweis 31. März 015 Motivation Die vollständige Induktion ist ein wichtiges Beweisverfahren in der Informatik. Sie wird häufig dazu gebraucht, um mathematische Formeln

Mehr

Kreativ visualisieren

Kreativ visualisieren Kreativ visualisieren Haben Sie schon einmal etwas von sogenannten»sich selbst erfüllenden Prophezeiungen«gehört? Damit ist gemeint, dass ein Ereignis mit hoher Wahrscheinlichkeit eintritt, wenn wir uns

Mehr

Info zum Zusammenhang von Auflösung und Genauigkeit

Info zum Zusammenhang von Auflösung und Genauigkeit Da es oft Nachfragen und Verständnisprobleme mit den oben genannten Begriffen gibt, möchten wir hier versuchen etwas Licht ins Dunkel zu bringen. Nehmen wir mal an, Sie haben ein Stück Wasserrohr mit der

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Modellbildungssysteme: Pädagogische und didaktische Ziele

Modellbildungssysteme: Pädagogische und didaktische Ziele Modellbildungssysteme: Pädagogische und didaktische Ziele Was hat Modellbildung mit der Schule zu tun? Der Bildungsplan 1994 formuliert: "Die schnelle Zunahme des Wissens, die hohe Differenzierung und

Mehr

Einführung. KLASSE: 9TE NAME: Vorname: Datum: LTAM Naturwissenschaften 9e Chemische Gleichungen 1 -

Einführung. KLASSE: 9TE NAME: Vorname: Datum: LTAM Naturwissenschaften 9e Chemische Gleichungen 1 - Einführung Ein Gärtner bestellt beim Großhändler Blumen. Dort werden Tulpen in Dreier-Sträußen und Vergissmeinnichtchen in Zweier-Sträußen angeboten. Für Valentinstag, möchte der Gärtner ein Sonderangebot

Mehr

Reinigung... 2. Normale Reingung der CheckStab Leitfähigkeitselektrode... 2. Gründliche Reinigung der Leitfähigkeitselektrode... 2

Reinigung... 2. Normale Reingung der CheckStab Leitfähigkeitselektrode... 2. Gründliche Reinigung der Leitfähigkeitselektrode... 2 Diese Anleitung fasst einige Punkte zusammen, die für eine gute Funktion der CheckStab Geräte wichtig sind. Sie ist nicht als Ersatz für das Handbuch, sondern als Ergänzung zum Handbuch gedacht. Bitte

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

6. Reaktionsgleichungen 6.1 Chemisches Reaktionsschema Wortschema Reaktionsschema Beispiel 1: Kupfer und Schwefel Vorzahlen

6. Reaktionsgleichungen 6.1 Chemisches Reaktionsschema Wortschema Reaktionsschema Beispiel 1: Kupfer und Schwefel Vorzahlen 6. Reaktionsgleichungen 6.1 Chemisches Reaktionsschema Das Wortschema benennt die Ausgangsstoffe und die Reaktionsprodukte einer chemischen Reaktion. Das Reaktionsschema sagt zusätzlich etwas über das

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Die Löslichkeit ist die Lösung. BELLAND alkalisch lösliche Polymere Applikationen und Handhabung

Die Löslichkeit ist die Lösung. BELLAND alkalisch lösliche Polymere Applikationen und Handhabung Das Unternehmen Die Löslichkeit ist die Lösung BELLAND alkalisch lösliche Polymere Applikationen und Handhabung BellandTechnology AG ist ein Polymertechnologie-Unternehmen, das ursprünglich 1983 in der

Mehr

PCD Europe, Krefeld, Jan 2007. Auswertung von Haemoccult

PCD Europe, Krefeld, Jan 2007. Auswertung von Haemoccult Auswertung von Haemoccult Ist das positiv? Nein! Ja! Im deutschen Krebsfrüherkennungsprogramm haben nur etwa 1 % der Frauen und 1,5 % der Männer ein positives Haemoccult -Ergebnis, da dieser Test eine

Mehr

Reaktionsgleichungen verstehen anhand der Verbrennung von Magnesium

Reaktionsgleichungen verstehen anhand der Verbrennung von Magnesium Reaktionsgleichungen verstehen anhand der Verbrennung von Magnesium Unterrichtsfach Themenbereich/e Schulstufe (Klasse) Fachliche Vorkenntnisse Sprachliche Kompetenzen Zeitbedarf Material- & Medienbedarf

Mehr

Selbst-Test zur Vorab-Einschätzung zum Vorkurs Chemie für Mediziner

Selbst-Test zur Vorab-Einschätzung zum Vorkurs Chemie für Mediziner Liebe Studierende der Human- und Zahnmedizin, mithilfe dieses Tests können Sie selbst einschätzen, ob Sie den Vorkurs besuchen sollten. Die kleine Auswahl an Aufgaben spiegelt in etwa das Niveau des Vorkurses

Mehr

Gruppenrichtlinien und Softwareverteilung

Gruppenrichtlinien und Softwareverteilung Gruppenrichtlinien und Softwareverteilung Ergänzungen zur Musterlösung Bitte lesen Sie zuerst die gesamte Anleitung durch! Vorbemerkung: Die Begriffe OU (Organizational Unit) und Raum werden in der folgenden

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Übungsblatt zu Säuren und Basen

Übungsblatt zu Säuren und Basen 1 Übungsblatt zu Säuren und Basen 1. In einer wässrigen Lösung misst die Konzentration der Oxoniumionen (H 3 O + ) 10 5 M. a) Wie gross ist der ph Wert? b) Ist die Konzentration der OH Ionen grösser oder

Mehr

Witte WEIGUSS ermöglicht das Bearbeiten von filigranen Bauteilen

Witte WEIGUSS ermöglicht das Bearbeiten von filigranen Bauteilen Anwenderbericht Witte WEIGUSS ermöglicht das Bearbeiten von filigranen Bauteilen Die Bearbeitung von filigranen Bauteilen mit fehlenden An- und Auflageflächen wird mit der Witte WEIGUSS Spanntechnik möglich.

Mehr

Insulated Metal Substrate (IMS) im Porträt. www.we-online.de/waermemanagement Seite 1

Insulated Metal Substrate (IMS) im Porträt. www.we-online.de/waermemanagement Seite 1 Webinar am 2. Februar 2016 Referent: Bert Heinz www.we-online.de/waermemanagement Seite 1 03.02.2016 2. Februar 2016 I 09.30 Uhr Insulated Metal Substrate (IMS) im Porträt Motorsteuerung, Stromumwandlung

Mehr

L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016

L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016 L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016 Referentin: Dr. Kelly Neudorfer Universität Hohenheim Was wir jetzt besprechen werden ist eine Frage, mit denen viele

Mehr

Kulturelle Evolution 12

Kulturelle Evolution 12 3.3 Kulturelle Evolution Kulturelle Evolution Kulturelle Evolution 12 Seit die Menschen Erfindungen machen wie z.b. das Rad oder den Pflug, haben sie sich im Körperbau kaum mehr verändert. Dafür war einfach

Mehr

Pädagogik. Melanie Schewtschenko. Eingewöhnung und Übergang in die Kinderkrippe. Warum ist die Beteiligung der Eltern so wichtig?

Pädagogik. Melanie Schewtschenko. Eingewöhnung und Übergang in die Kinderkrippe. Warum ist die Beteiligung der Eltern so wichtig? Pädagogik Melanie Schewtschenko Eingewöhnung und Übergang in die Kinderkrippe Warum ist die Beteiligung der Eltern so wichtig? Studienarbeit Inhaltsverzeichnis 1. Einleitung.2 2. Warum ist Eingewöhnung

Mehr

Übung Bilder verschmelzen

Übung Bilder verschmelzen Landesakademie für Fortbildung und Personalentwicklung an Schulen in Baden-Württemberg Übung Bilder verschmelzen Die folgende Anleitung will exemplarisch zeigen, wie Sie mit GIMP zwei Bilder zu einem neuen

Mehr

INNOVATION IN NEUER DIMENSION.

INNOVATION IN NEUER DIMENSION. INNOVATION IN NEUER DIMENSION. Hochstrom- und Wärmemanagement auf engstem Raum we complete competence WIR REAGIEREN AUF HERAUSFORDERUNG MIT LÖSUNGEN. Es ist Zeit für: in nur einer Leiterplatte auf engstem

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

Stammdatenanlage über den Einrichtungsassistenten

Stammdatenanlage über den Einrichtungsassistenten Stammdatenanlage über den Einrichtungsassistenten Schritt für Schritt zur fertig eingerichteten Hotelverwaltung mit dem Einrichtungsassistenten Bitte bereiten Sie sich, bevor Sie starten, mit der Checkliste

Mehr

Ein Buch entsteht. Ein langer Weg

Ein Buch entsteht. Ein langer Weg Ein Buch entsteht ilo 2003 Ein langer Weg Wenn ein Schriftsteller oder eine Schriftstellerin eine Geschichte schreibt, dann ist das noch ein langer Weg bis daraus ein Buch wird. Der Autor Alles fängt damit

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

Short-Jumps. 3-D hat Zukunft

Short-Jumps. 3-D hat Zukunft Short-Jumps 3-D hat Zukunft Die 3-D-Chance Short-Jumps allgemein Die Leiterplatte ist immer noch der mechanische Untergrund für die Elektronik. Mal starr, mal flexibel, mal starr-flex und immer wieder

Mehr

MN 2870. Stickstoff-Station

MN 2870. Stickstoff-Station MN 2870 Stickstoff-Station JBC stellt die Stickstoff-Station MN 2870 vor. Diese Station kombiniert zwei Wege der Wärmeübertragung: - Zunächst durch unmittelbaren Kontakt zwischen der Lötspitze und der

Mehr

2.2 DRUCKGIESSVERFAHREN. 2.2.1 Worin besteht das Druckgießverfahren? 2.2.2 Was ist der Vorteil des Druckgießverfahrens?

2.2 DRUCKGIESSVERFAHREN. 2.2.1 Worin besteht das Druckgießverfahren? 2.2.2 Was ist der Vorteil des Druckgießverfahrens? 2.2 DRUCKGIESSVERFAHREN 2.2.1 Worin besteht das Druckgießverfahren? Es besteht darin, flüssiges Metall in eine genau gearbeitete, stählerne Dauerform unter so hohem Druck hineinzupressen, daß es die Form

Mehr

Lichtbrechung an Linsen

Lichtbrechung an Linsen Sammellinsen Lichtbrechung an Linsen Fällt ein paralleles Lichtbündel auf eine Sammellinse, so werden die Lichtstrahlen so gebrochen, dass sie durch einen Brennpunkt der Linse verlaufen. Der Abstand zwischen

Mehr

Erstellen von x-y-diagrammen in OpenOffice.calc

Erstellen von x-y-diagrammen in OpenOffice.calc Erstellen von x-y-diagrammen in OpenOffice.calc In dieser kleinen Anleitung geht es nur darum, aus einer bestehenden Tabelle ein x-y-diagramm zu erzeugen. D.h. es müssen in der Tabelle mindestens zwei

Mehr

Platinen mit dem HP CLJ 1600 direkt bedrucken ohne Tonertransferverfahren

Platinen mit dem HP CLJ 1600 direkt bedrucken ohne Tonertransferverfahren Platinen mit dem HP CLJ 1600 direkt bedrucken ohne Tonertransferverfahren Um die Platinen zu bedrucken, muß der Drucker als allererstes ein wenig zerlegt werden. Obere und seitliche Abdeckungen entfernen:

Mehr

Waggonbeleuchtung. Stützkondensatoren

Waggonbeleuchtung. Stützkondensatoren Waggonbeleuchtung Hier finden Sie alle Informationen, wie Sie Ihre Waggons eindrucksvoll beleuchten können. Diese Anleitung basiert auf die Verwendung von PCB-Streifen als Leiterbahn und SMD zur Beleuchtung.

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Zulassung nach MID (Measurement Instruments Directive)

Zulassung nach MID (Measurement Instruments Directive) Anwender - I n f o MID-Zulassung H 00.01 / 12.08 Zulassung nach MID (Measurement Instruments Directive) Inhaltsverzeichnis 1. Hinweis 2. Gesetzesgrundlage 3. Inhalte 4. Zählerkennzeichnung/Zulassungszeichen

Mehr

MORE Profile. Pass- und Lizenzverwaltungssystem. Stand: 19.02.2014 MORE Projects GmbH

MORE Profile. Pass- und Lizenzverwaltungssystem. Stand: 19.02.2014 MORE Projects GmbH MORE Profile Pass- und Lizenzverwaltungssystem erstellt von: Thorsten Schumann erreichbar unter: thorsten.schumann@more-projects.de Stand: MORE Projects GmbH Einführung Die in More Profile integrierte

Mehr

VDE/VDI-SCHULUNGSBLÄTTER FÜR DIE LEITERPLATTENFERTIGUNG. Mechanische Bearbeitung. Stanzen

VDE/VDI-SCHULUNGSBLÄTTER FÜR DIE LEITERPLATTENFERTIGUNG. Mechanische Bearbeitung. Stanzen Seite 1 Allgemeines Das von Leiterplatten ist ein klassisches Fertigungsverfahren zur Erzeugung von Löchern, Innen- und Außenkonturen bei hohen Stückzahlen und bietet die Gewähr für eine kostengünstige

Mehr

Thermodynamik. Basics. Dietmar Pflumm: KSR/MSE. April 2008

Thermodynamik. Basics. Dietmar Pflumm: KSR/MSE. April 2008 Thermodynamik Basics Dietmar Pflumm: KSR/MSE Thermodynamik Definition Die Thermodynamik... ist eine allgemeine Energielehre als Teilgebiet der Chemie befasst sie sich mit den Gesetzmässigkeiten der Umwandlungsvorgänge

Mehr

Dokumentation. Serienbriefe mit Galileo-Daten im Word erstellen

Dokumentation. Serienbriefe mit Galileo-Daten im Word erstellen Dokumentation Serienbriefe mit Galileo-Daten im Word erstellen K:\Dokumentationen\Galileo bibwin Kunden Dokus\Kurz-Doku Seriendruck.doc 04.03.2008 1 Ablauf...2 2 Kundenadressen...3 3 Datenexport...4 3.1

Mehr

Speicher in der Cloud

Speicher in der Cloud Speicher in der Cloud Kostenbremse, Sicherheitsrisiko oder Basis für die unternehmensweite Kollaboration? von Cornelius Höchel-Winter 2013 ComConsult Research GmbH, Aachen 3 SYNCHRONISATION TEUFELSZEUG

Mehr

Lernaufgabe: Richtigstellen von Reaktionsgleichungen

Lernaufgabe: Richtigstellen von Reaktionsgleichungen Lernaufgabe: Richtigstellen von Reaktionsgleichungen Hilfreiche Angaben: Unterrichtsfach: Chemie Schultyp: Maturitätsschulen Jahrgangsstufe, Kurs: Grundlagenfach Bearbeitungsdauer: 20 Minuten Bearbeitung,

Mehr

Schimmelpilze sitzen auf dem Trockenen

Schimmelpilze sitzen auf dem Trockenen Wie Feuchtigkeit in der Wohnung vermeidbar ist Schimmelpilze sitzen auf dem Trockenen Duschen, waschen, kochen, wohnen in einem Haushalt mit drei Personen werden jeden Tag bis zu 14 Liter Wasser freigesetzt.

Mehr

Schritt 1. Anmelden. Klicken Sie auf die Schaltfläche Anmelden

Schritt 1. Anmelden. Klicken Sie auf die Schaltfläche Anmelden Schritt 1 Anmelden Klicken Sie auf die Schaltfläche Anmelden Schritt 1 Anmelden Tippen Sie Ihren Benutzernamen und Ihr Passwort ein Tipp: Nutzen Sie die Hilfe Passwort vergessen? wenn Sie sich nicht mehr

Mehr

WinVetpro im Betriebsmodus Laptop

WinVetpro im Betriebsmodus Laptop WinVetpro im Betriebsmodus Laptop Um Unterwegs Daten auf einem mobilen Gerät mit WinVetpro zu erfassen, ohne den Betrieb in der Praxis während dieser Zeit zu unterbrechen und ohne eine ständige Online

Mehr

4. BEZIEHUNGEN ZWISCHEN TABELLEN

4. BEZIEHUNGEN ZWISCHEN TABELLEN 4. BEZIEHUNGEN ZWISCHEN TABELLEN Zwischen Tabellen können in MS Access Beziehungen bestehen. Durch das Verwenden von Tabellen, die zueinander in Beziehung stehen, können Sie Folgendes erreichen: Die Größe

Mehr

1. EINLEITUNG 2. GLOBALE GRUPPEN. 2.1. Globale Gruppen anlegen

1. EINLEITUNG 2. GLOBALE GRUPPEN. 2.1. Globale Gruppen anlegen GLOBALE GRUPPEN 1. EINLEITUNG Globale Gruppen sind system- oder kategorieweite Gruppen von Nutzern in einem Moodlesystem. Wenn jede Klasse einer Schule in eine globale Gruppe aufgenommen wird, dann kann

Mehr

Übung 1: Busplatine. Elektrotechnik. 19 Baugruppenträger Busplatine. Aufgabe/ Auftrag. Übung 1. RAG Aktiengesellschaft

Übung 1: Busplatine. Elektrotechnik. 19 Baugruppenträger Busplatine. Aufgabe/ Auftrag. Übung 1. RAG Aktiengesellschaft : Aufgabe/ Auftrag: Erstellen Sie die Arbeitsplanung zu dieser Aufgabe Besprechen Sie Ihre Planungsergebnisse mit dem Ausbilder Bestücken Sie die unter Beachtung des Bestückungsplanes Führen Sie die Selbstkontrolle

Mehr

EM-Wellen. david vajda 3. Februar 2016. Zu den Physikalischen Größen innerhalb der Elektrodynamik gehören:

EM-Wellen. david vajda 3. Februar 2016. Zu den Physikalischen Größen innerhalb der Elektrodynamik gehören: david vajda 3. Februar 2016 Zu den Physikalischen Größen innerhalb der Elektrodynamik gehören: Elektrische Stromstärke I Elektrische Spannung U Elektrischer Widerstand R Ladung Q Probeladung q Zeit t Arbeit

Mehr

Elektrochemische Kinetik. FU Berlin Constanze Donner / Ludwig Pohlmann 2010 1

Elektrochemische Kinetik. FU Berlin Constanze Donner / Ludwig Pohlmann 2010 1 Elektrochemische Kinetik FU Berlin Constanze Donner / Ludwig Pohlmann 2010 1 FU Berlin Constanze Donner / Ludwig Pohlmann 2010 2 Elektrochemische Kinetik Was war: Die NernstGleichung beschreibt das thermodynamische

Mehr

Spritzgießen ein ideales Fertigungsverfahren

Spritzgießen ein ideales Fertigungsverfahren Einführung Spritzgießen ein ideales Fertigungsverfahren Leitfragen Was ist Spritzgießen? Wozu wird Spritzgießen eingesetzt? Was sind die Hauptmerkmale einer Spritzgießmaschineneinheit? Inhalt Spritzgießen

Mehr

Redoxgleichungen. 1. Einrichten von Reaktionsgleichungen

Redoxgleichungen. 1. Einrichten von Reaktionsgleichungen Redoxgleichungen 1. Einrichten von Reaktionsgleichungen Reaktionsgleichungen in der Chemie beschreiben den Verlauf einer Reaktion. Ebenso, wie bei einer Reaktion keine Masse verloren gehen kann von einem

Mehr

Korrigenda Handbuch der Bewertung

Korrigenda Handbuch der Bewertung Korrigenda Handbuch der Bewertung Kapitel 3 Abschnitt 3.5 Seite(n) 104-109 Titel Der Terminvertrag: Ein Beispiel für den Einsatz von Future Values Änderungen In den Beispielen 21 und 22 ist der Halbjahressatz

Mehr

Auswirkungen der Güterstände auf das Erbrecht eingetragener Lebenspartner

Auswirkungen der Güterstände auf das Erbrecht eingetragener Lebenspartner Auswirkungen der Güterstände auf das Erbrecht eingetragener Lebenspartner Seit dem 01. Januar 2005 ist das eheliche Güterrecht des Bürgerlichen Gesetzbuchs (BGB) auch auf eingetragene Lebenspartnerschaften

Mehr

2. Im Admin Bereich drücken Sie bitte auf den roten Button Webseite bearbeiten, sodass Sie in den Bearbeitungsbereich Ihrer Homepage gelangen.

2. Im Admin Bereich drücken Sie bitte auf den roten Button Webseite bearbeiten, sodass Sie in den Bearbeitungsbereich Ihrer Homepage gelangen. Bildergalerie einfügen Wenn Sie eine Vielzahl an Bildern zu einem Thema auf Ihre Homepage stellen möchten, steht Ihnen bei Schmetterling Quadra das Modul Bildergalerie zur Verfügung. Ihre Kunden können

Mehr

Verfasser: M. Krokowski, R. Dietrich Einzelteilzeichnung CATIA-Praktikum. Ableitung einer. Einzelteilzeichnung. mit CATIA P2 V5 R11

Verfasser: M. Krokowski, R. Dietrich Einzelteilzeichnung CATIA-Praktikum. Ableitung einer. Einzelteilzeichnung. mit CATIA P2 V5 R11 Ableitung einer Einzelteilzeichnung mit CATIA P2 V5 R11 Inhaltsverzeichnis 1. Einrichten der Zeichnung...1 2. Erstellen der Ansichten...3 3. Bemaßung der Zeichnung...6 3.1 Durchmesserbemaßung...6 3.2 Radienbemaßung...8

Mehr

Mandant in den einzelnen Anwendungen löschen

Mandant in den einzelnen Anwendungen löschen Mandant in den einzelnen Anwendungen löschen Bereich: ALLGEMEIN - Info für Anwender Nr. 6056 Inhaltsverzeichnis 1. Allgemein 2. FIBU/ANLAG/ZAHLUNG/BILANZ/LOHN/BELEGTRANSFER 3. DMS 4. STEUERN 5. FRISTEN

Mehr

Mobile Intranet in Unternehmen

Mobile Intranet in Unternehmen Mobile Intranet in Unternehmen Ergebnisse einer Umfrage unter Intranet Verantwortlichen aexea GmbH - communication. content. consulting Augustenstraße 15 70178 Stuttgart Tel: 0711 87035490 Mobile Intranet

Mehr

Einführung in die Algebra

Einführung in die Algebra Prof. Dr. H. Brenner Osnabrück SS 2009 Einführung in die Algebra Vorlesung 13 Einheiten Definition 13.1. Ein Element u in einem Ring R heißt Einheit, wenn es ein Element v R gibt mit uv = vu = 1. DasElementv

Mehr

Übungen zur VL Chemie für Biologen und Humanbiologen 05.12.2011 Lösung Übung 6

Übungen zur VL Chemie für Biologen und Humanbiologen 05.12.2011 Lösung Übung 6 Übungen zur VL Chemie für Biologen und Humanbiologen 05.12.2011 Lösung Übung 6 Thermodynamik und Gleichgewichte 1. a) Was sagt die Enthalpie aus? Die Enthalpie H beschreibt den Energiegehalt von Materie

Mehr

ONLINE-AKADEMIE. "Diplomierter NLP Anwender für Schule und Unterricht" Ziele

ONLINE-AKADEMIE. Diplomierter NLP Anwender für Schule und Unterricht Ziele ONLINE-AKADEMIE Ziele Wenn man von Menschen hört, die etwas Großartiges in ihrem Leben geleistet haben, erfahren wir oft, dass diese ihr Ziel über Jahre verfolgt haben oder diesen Wunsch schon bereits

Mehr

Leiterplatten Pool-Service

Leiterplatten Pool-Service Leiterplatten Pool-Service Sehr geehrte Kunden, bitte prüfen Sie vor Zusendung Ihrer Gerber-und Bohrdaten dass Ihre Daten den Richtlinien der Spezifikation im Pool entsprechen!!! Material und Startkupferstärken

Mehr

Wie Sie mit Mastern arbeiten

Wie Sie mit Mastern arbeiten Wie Sie mit Mastern arbeiten Was ist ein Master? Einer der großen Vorteile von EDV besteht darin, dass Ihnen der Rechner Arbeit abnimmt. Diesen Vorteil sollten sie nutzen, wo immer es geht. In PowerPoint

Mehr

Wie sieht unsere Welt im Kleinen aus?

Wie sieht unsere Welt im Kleinen aus? Skriptum Wie sieht unsere Welt im Kleinen aus? 1 Wie sieht unsere Welt im Kleinen aus? Atom- und Quantenphysik für Kids Seminar im Rahmen der KinderUni Wien, 12. 7. 2005 Katharina Durstberger, Franz Embacher,

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

Verklebehinweise Kleine Aufkleber Trockenverklebung

Verklebehinweise Kleine Aufkleber Trockenverklebung Verklebehinweise Kleine Aufkleber Trockenverklebung Reinigen Sie den vorgesehenen Platz für Ihren Aufkleber gründlich. Zu vermeiden sind Seifen, Öle und Reinigungsmittel, die Wachs oder Silikon enthalten.

Mehr