Protokoll zum Z80-Projekt. Andreas Amann, Alexander Zahn

Größe: px
Ab Seite anzeigen:

Download "Protokoll zum Z80-Projekt. Andreas Amann, Alexander Zahn"

Transkript

1 Protokoll zum Z80-Projekt Andreas Amann, Alexander Zahn 27. August 2006

2 INHALTSVERZEICHNIS Inhaltsverzeichnis 1 Vorwort Ziel des Projekts Was ist der Z Problemstellung Versuchsaufbau Einzelschritt-Generator Adressierung Interrupts Eingabe-Interface PIO Implementierung 5 4 Hinweise zur Erweiterung 7 5 Quellen 7 6 Anhänge Quellcode Adressdecoder Quellcode Z80 Programm Seite 1

3 1 VORWORT 1 Vorwort 1.1 Ziel des Projekts Ziel des Projekt ist die Vermittlung der wesentlichen Konzepte eines Mikroprozessorsystems wie z.b. das Zeitverhalten, Kennenlernen der verschiedenen Adressierungsarten, die Befehlsausführung, das Interruptsystem und Verwendung von Periphere und Systembauelemente. 1.2 Was ist der Z80 Der Z80 ist ein 8-Bit Mikroprozessor der Firma Zilog, diese entstand durch einen abgewanderten Intel-Entwickler. Der Z80 kam 1976 auf den Markt und eroberte diesen schlagartig. Seine Vorteile liegen klar auf der Hand: Binärkompatibilität zum Intel 8080 einfachere Spannungsversorgung (+5V statt +-5V, +12V) eingebaute Refreshsteuerung für DRAM bessere Interruptfunktionen echte 16-Bit-Register einfachere Mnemonics günstiger Preis Wir verwenden in unserem Versuchsaufbau nicht den orginalen Z80, sondern den poplären Nachbau U880. Neben dem Prozessor steht uns eine Parallele- Input-Output-Einheit (PIO), eine Serielle-Input-Output-Einheit (SIO) und eine Zähl/Timer-Einheit (CTC) zur Verfügung. Der Z80/U880 verfügt über gute Zugänglichkeit zum Bus und der Möglichkeit in den sogenannten Einzelschritt-Modus zu gelangen. Somit ist er die ideale Versuchsplattform um die Funktionsweise eines Computer-Systems zu beobachten und zu verstehen. Die dabei vermittelten Grundkonzepte lassen sich auch auf die heutigen modernen Konzepte reflektieren. 1.3 Problemstellung Als konkretes Problem gilt es ein Schrittmotor aus einem 5,25 Zoll Diskettenlaufwerk anzusteuern. Hierbei generieren wir Signale, die vom Motor als Vollschritt erkannt werden. Es gibt jeweils eine Taste für einen Links- bzw. einen Rechtsschritt. Zusammengefasst: Seite 2

4 2 VERSUCHSAUFBAU Feste Schrittgeschwindigkeit Links- / Rechtsschritt Als Eingabe-Interface für unsere Projekt wollen wir entprellte Taster verwenden. 2 Versuchsaufbau 2.1 Einzelschritt-Generator Um den Prozessor in den sogenannten Einzelschritt-Modus zu bringen, benötigen wir ein /WAIT - Signal (ähnlich dem HWP3 Versuch: POST-Karte). 2.2 Adressierung RAM (2K) ROM (4K) PIO (Daten) PIO2 (Steuerung) Speicheraufteilung 8000h bis 87FFh (gültiger Speicherbereich, weil vorhanden) 0000h bis 0FFFh (gültiger Speicherbereich, weil vorhanden) XXX1h XXX3h Damit wir die einzelnen Komponenten gezielt ansteuern können, benötigen wir einen Adressdecoder. Über diesen geben wir Signale auf die entsprechenden Leitung zur Aktivierung des bestimmten Bausteins. Zur Unterscheidung von RAM und ROM wählen wir die unvollständige Dekodierung. D.h. wir werten aus dem Adressbereich nur A15 für die Auswahl von ROM bzw. RAM aus. Nach dem Einschalten der CPU greift diese auf die Adresse 0000h zu. Somit ist es sinnvoll A15 für die Auswahl des ROMs und /A15 für die Auswahl des RAMs zu verwenden. Sobald der Prozessor Daten lesen will wird RD = 0 und MEMRQ = 0 wenn auf den Speicher zugeriffen werden soll. Somit gilt für die Generierung des CS des ROMs:!CS =!MEMRQ und!rd und!a15 Und für das CE des RAMs gilt:!ce =!MEMRQ und A15 Seite 3

5 2 VERSUCHSAUFBAU Anzumerken ist noch, dass bei der Decodierung der Adressen nicht nur die gültigen Speicherbereiche angesprochen werden, die mit RAM-Speicher hinterlegt sind. Der Bereich der durch unseren Adressdecoder generiert wird umfasst zusätzlich zum gültigen Adressraum von 8000h bis 87FFh auch noch einen ungültigen Adressraum von 87FFh bis FFFFh, der überhaupt nicht mit RAM hinterlegt ist. Die PIO wird mit der Adresse XXX1h (A0 = 1) angesprochen. A0 wird bei der PIO für die Auswahl des Kanals A bei A0 = 0 und Kanal B bei A0 = 1 genutzt. Somit kann bei unserer Adressierung immer nur Kanal B angesteuert werden. Da wir sowieso nur Kanal B benutzen wollten, ist dies kein Nachteil. Jedoch ist die PIO-Adressierung bei der Erweiterung des Projekts nochmals zu überdenken, da diese den Projektausbau einschränkt. Das Problem ist nämlich, dass PIO, SIO und CTC die Adressen A0 und A1 zur Steuerung verwenden. Konsequenz wäre dann z.b. wenn ich das CS für die CTC über A3=1 generiere und dann ein Steuerwort an die CTC sende, das A1 = 1 beinhaltet, wird auch die PIO fälschlicherweise angesprochen. Besser ist es die Low-Bytes A7 bis A2 für die Auswahl zu verwenden. Somit könnte man z.b. das entsprechende CS für PIO bei A2=1, SIO bei A3=1 und CTC bei A4=1 generieren. Den Stackpointer initialisieren wir mit der Adresse FFFFh. Sinnvoller wäre es gewesen, den Stackpointer auf das Byte unmittelbar über den Stack befindliche Byte zu setzen. Da Z80 erst den Stackpointer dekrementiert und dann erst das Byte in den Speicher schreibt. 2.3 Interrupts Ein Mikroprozessor-System kann aus mehreren Hardware-Komponenten bestehen. Die meisten externen Hardware-Komponenten benötigen die CPU nur zu bestimmten Zeiten. Die Hardware fordert die CPU nur an, wenn sie gebraucht wird. Eine solche Anforderung bezeichnet man als Interrupt- Anfrage. Wir verwenden für unseren Versuchsaufbau den Interrupt-Modus 2 des Z80, d.h. wir arbeiten mit vektorisierten Interrupts. Für die Interrupt-Behandlung mittels vektorisierter Interrupts benötigen wir die Basisadresse der Interruptvektortabelle und einen Interruptvektor, welcher nur einen Offset zur Basisadresse darstellt. Die Basisadresse wird beim Aufsetzen des Z80 in den Interrupt-Modus 2 in das Interrupt-Vektor- Register geladen. Nach dem Einschalten und der Initialisierung unseres Systems befindet sich die CPU im Hauptprogramm. In unserem Hauptprogramm wird nichts ausgeführt, somit befindet sich die CPU in einer Art Haltezustand. Seite 4

6 3 IMPLEMENTIERUNG Mittels der von uns angeschlossenen Taster lösen wir über die PIO eine Interrupt-Anfrage aus. Nachdem die Interrupt-Anfrage bestätigt wurde, wird der Interruptvektor auf den Datenbus gelegt. Der von der PIO übergebene Interruptvektor wurde bei derer Programmierung festgelegt. Aus der Basisadresse und dem Interruptvektor wird eine 16-Bit-Adresse gebildet, diese verweist auf einen bestimmten Eintrag der Interruptvektortabelle. Dort befindet sich die absolute Speicheradresse der Interrupt-Service- Routine (ISR), welche jetzt ausgeführt wird. Nachdem die CPU die ISR abgearbeitet hat, befinden wir uns wieder im Hauptprogramm. 2.4 Eingabe-Interface Für den Versuchsaufbau benötigen wir 1 Reset-Taster 1 Einzelschritt-Taster 1 Taster für Linksschritt 1 Taster für Rechtsschritt Um einen störungsfreien Betrieb zu garantieren, müssen alle Taster entprellt werden. Dies lösen wird hardwaremäßig über eine Schmitt-Trigger- Schaltung. Wir verwenden hierfür einen 4093-Baustein, welcher 4 NAND- Schmitt-Trigger beinhaltet. Alternativ besteht auch die Möglichkeit die Taster softwaremäßig zu entprellen. 2.5 PIO Wir verwenden in unserem Projekt die PIO. Dies ist ein programmierbarer I/O-Baustein, der speziell für die Zusammennschaltung mit dem Z80 entwickelt wurde. Zum Initialisieren der PIO schickt man ihr spezielle Steuerwörter. Durch diese kann man die PIO entsprechend der Anforderungen programmieren/initialisieren. Um der PIO mitzuteilen, dass wir ein Steuerwort auf den Datenbus legen, wird die Adresse XXX3h (siehe Adressierung, PIO2) verwendet. Für einen lesenden oder schreibenden Zugriff auf die Datenbytes des Datenports B wird die Adresse XXX1h (siehe Adressierung, PIO) verwendet. Wir setzen die PIO im Modus 3 ein, da wir sie in einer Nicht-Handshake-Umgebung einsetzen. Die acht Bits des Ports B können somit in beliebiger Anordnung als Eingabe- oder Ausgangleitungen dienen. 3 Implementierung Wir verwenden für unsere Schrittmotorsteuerung Kanal B der PIO. Diese stellt uns 8 Ein/Ausgänge zur Verfügung. 4 Pins definieren wir als Ausgang Seite 5

7 3 IMPLEMENTIERUNG (an dieser Stelle wird der Treiber für den Schrittmotor angeschlossen) und 4 Pins definieren wir als Eingang, wobei jedoch nur zwei für das Interruptbehandlung maskiert sind. Diese beiden Pins sind High-Aktiv und mittels Oder-Logik (Intern in der PIO) verbunden. Im konkreten heisst dies, falls einer der beiden Taster (Links oder Rechts) gedrückt wurde, springen wir in die selbe ISR. Erst dort findet mittels Shift-Operationen und dem Carry-Flag eine Überprüfung statt welche Taste gedrückt wurde. Falls beide Taster gedrückt werden, wird der zuerst gedrückte ausgewertet. Je nachdem ob das Carry-Flag gesetzt wurde oder nicht (entspricht der Auswahl des Tasters) laufen dann getrennte Routinen ab, welche den Schrittmotor in die eine oder in die andere Richtung laufen lassen. Da wir den Motor im Vollschrittmodus betreiben, heisst dies, dass wir jeweils nur eine Spule des Schrittmotors gleichzeitig benutzen. Spule 1 Spule 2 Spule 3 Spule 4 Zustand Zustand Zustand Zustand Seite 6

8 5 QUELLEN Somit reicht es aus, wenn wir ein einzelnes Bit (dies muss natürlich eine 2er Potenz zwischen 2 0 und 2 3 sein) setzen. Durch den Tastendruck shiften wir dieses Bit eine Stelle höher oder niedriger. Abkürzung Erläuterung Links Steigende Flanke, durch Tastendruck der Linkentaste Rechts Steigende Flanke, durch Tastendruck der Rechtentaste Gleich Taste bleibt gedrückt oder kein erneuter Tastendruck Das somit erzeugte Bitmuster wird durch die PIO eins zu eins an den Treiber angelegt, von diesem verstärkt und dem Schrittmotor zugeführt. 4 Hinweise zur Erweiterung Bei der oben beschriebenen Implementierung entspricht eine Betätigung eines Tasters für Links- bzw. Rechtsschritt einem Vollschritt. Bei zusätzlicher Einbindung der CTC wäre es möglich durch diese mehrere Schritte pro Tastendruck generieren zu lassen. Jedoch sollte man hierbei das Zeitverhalten, mit der die Vollschritte aufeinander folgen, bedenken. Wird eine maximale Schrittgeschwindigkeit überschritten hat dies zu Folge, dass der Motor die Signale nicht mehr ordungsgemäß verarbeiten kann. 5 Quellen (Stand ) (Stand ) (Stand ) (Stand ) (Stand ) Seite 7

9 6 ANHÄNGE James W. Coffron, Z80 Anwendungen, SYBEX-Verlag GmBH, Düsseldorf Anhänge 6.1 Quellcode Adressdecoder module az1 declarations cs,memrq,rd,a15,ce,pioce,a0 pin 19,2,3,4,18,17,5; equations!cs =!memrq &!rd &!a15;!ce =!memrq & a15;!pioce = a0; test_vectors ([memrq, rd,a15] -> cs) [ 0,0,0 ] -> 0 ; end az1; 6.2 Quellcode Z80 Programm ;Konstanten STACK.equ FFFFh RAM.equ 8000h ;2K RAM ROM.equ 0000h ;4K ROM PIO.equ 0001h PIO2.equ 0003h ISRPIO.equ 0200h.org 0000h ;PC auf Null IM 2 ; Interrupt Modus 2 LD A, 03h ; Highteil des Interruptvektors LD I, A LD SP, (STACK) ;Lade Stackpointer LD A,03h ; Sperren Interrupt Mode 3 Seite 8

10 6 ANHÄNGE DI ; Sperren OUT (PIO2),A ; Unsere PIO sperren LD a,0cfh out (PIO2),a ; Bitbetrieb Port A ld a, B out (PIO2),a ; Maske was Ein/ausgabe ist LD A, 02H OUT (PIO2),a ; Interrupt-Vektor 02 LD A, b ; INT, OR,High, Maske Folgt,... OUT (PIO2),a LD A, b ; Bit 0 (Rechter Taster),1 sind nicht maskiert Out (PIO2),a EI ; Freigeben des Interrupts ld d,01h ; Maske fr PIO eingabe ld b,1 ; init fr ausgabe main: ld a,a jp main.org 0302h ;Intertupt-Vektor-Tabelle.word ISRPIO.org ISRPIO ;Interrupt-Service-Routine DI in a,(pio) ;wert aus der pio lesen RLA ;eingelesenen wert von ausgabebits bereinigen jp C, AndererTaster rrc b jp M,rechts1 jp ausgabe ; Wert an PIO schreiben AndererTaster: rlc b ;links shift ld a,b sub 16 ;prfen ob zu viel geschiftet wurde jp z, links1 jp ausgabe links1: ld b,1 jp ausgabe rechts1: Seite 9

11 6 ANHÄNGE ld b,8 jp ausgabe ausgabe: ld a,b out (PIO),a ;aktuelles a ausgeben EI RETI.END... Seite 10

Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I

Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I Aufgabe Punkte Aufgabe 1: / 35 Aufgabe 2: / 25 Aufgabe 3: / 15 Aufgabe 4: / 15 Aufgabe 5: / 35 Aufgabe 6: / 15 Aufgabe 7: / 20 Aufgabe 8:

Mehr

Kapitel 18. Externe Komponenten

Kapitel 18. Externe Komponenten Kapitel 18 Externe Komponenten 31.05.11 K.Kraft E:\MCT_Vorlesung\MCT2011\Externe_31\Externe.odt 18-1 Anschluss von externen Komponenten Einfachste Art : Direkt an einem Port Beispiel Ausgabe : 7-Strich

Mehr

Aufgabe 2 - Erweiterung um PIC und Interrupts

Aufgabe 2 - Erweiterung um PIC und Interrupts Aufgabe 2 - Erweiterung um PIC und Interrupts Dr.-Ing. Volkmar Sieh Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS2010/2011 Aufgabe 2 - Erweiterung um

Mehr

Aufgabe 2 - Erweiterung um PIC und Interrupts

Aufgabe 2 - Erweiterung um PIC und Interrupts Aufgabe 2 - Erweiterung um PIC und Interrupts Rainer Müller Department Informatik 4 Verteilte Systeme und Betriebssysteme Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2014/2015 R. Müller Erweiterung

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

E Mikrocontroller-Programmierung

E Mikrocontroller-Programmierung E Mikrocontroller-Programmierung E Mikrocontroller-Programmierung E.1 Überblick Mikrocontroller-Umgebung Prozessor am Beispiel AVR-Mikrocontroller Speicher Peripherie Programmausführung Programm laden

Mehr

Datentechnik. Prinzipieller Aufbau eines Schnittstellenbausteins

Datentechnik. Prinzipieller Aufbau eines Schnittstellenbausteins Prinzipieller Aufbau eines Schnittstellenbausteins DB /CS A0-Ai R/W Reset Takt Int IntAck zum µp Datenbus Puffer Steuerung Interruptsteuerung & IF Statusregister IE Steuerregister Befehlsregister Datenregister

Mehr

Mikrocomputertechnik

Mikrocomputertechnik Mikrocomputertechnik Bernd-Dieter Schaaf Mit Mikrocontrollern der Familie 8051 ISBN 3-446-40017-6 Leseprobe Weitere Informationen oder Bestellungen unter http://www.hanser.de/3-446-40017-6 sowie im Buchhandel

Mehr

Lösung 5. Mikroprozessor & Eingebettete Systeme 1

Lösung 5. Mikroprozessor & Eingebettete Systeme 1 Lösung 5 Mikroprozessor & Eingebettete Systeme 1 WS2015 / 2016 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tiefergehende Kenntnis zu vermitteln; sie sollen lediglich den Einstieg in

Mehr

1,8V Flash and SRAM 28F3208W30

1,8V Flash and SRAM 28F3208W30 ,8V Flash and SRAM 28F328W3 Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 33,8V Flash and SRAM 28F328W3 BGA-Gehäuse Auf 7x9 mm Fläche 28MBit Flash und 8MBit SRAM Liers - PEG-Vorlesung

Mehr

Microcomputertechnik

Microcomputertechnik Microcomputertechnik mit Mikrocontrollern der Familie 8051 Bearbeitet von Bernd-Dieter Schaaf 2. Auflage 2002. Buch. 230 S. Hardcover ISBN 978 3 446 22089 8 Format (B x L): 16 x 22,7 cm Gewicht: 407 g

Mehr

Parallel-IO. Ports am ATmega128

Parallel-IO. Ports am ATmega128 Parallel-IO Ansteuerung Miniprojekt Lauflicht Ports am ATmega128 PortE (PE7...PE0) alternativ, z.b. USART0 (RS232) 1 Pin von PortC Port C (PC7...PC0) 1 Parallel-IO-Port "Sammelsurium" verschiedener Speicher

Mehr

Name : Klasse : Punkte : Note :

Name : Klasse : Punkte : Note : Name : Klasse : Punkte : Note : Zeit: 08.00 bis 09.30 Es dürfen alle Unterlagen verwendet werden. Die Aufgaben sind möglichst direkt auf den Blättern zu lösen (Antworten bitte in ganzen Sätzen!), bei Bedarf

Mehr

Intelligenter Modemadapter für den PC

Intelligenter Modemadapter für den PC Intelligenter Modemadapter für den PC Jürgen Hasch, DG1SCR, Meisenstr. 23, 73066 Uhingen Motivation Möchte man an einem PC mehrere Modems betreiben, so hat man die Wahl zwischen einer quasi-passiven Lösung

Mehr

AVR Ein/Ausgabe. Inhaltsverzeichnis

AVR Ein/Ausgabe. Inhaltsverzeichnis Inhaltsverzeichnis AVR Ein/Ausgabe 1 Pinout Arduino Board...4 2 AVR PORT - I N P U T PINB...6 3 AVR PORT Architektur Bausteine...8 4 AVR Port O U T P U T PORTB...10 5 AVR PORT Architektur: Fragen 2...12

Mehr

Teil 6: PIC Studiengang Technische Informatik (TI) Prof. Dr.-Ing. Alfred Rożek. nur für Lehrzwecke Vervielfältigung nicht gestattet

Teil 6: PIC Studiengang Technische Informatik (TI) Prof. Dr.-Ing. Alfred Rożek. nur für Lehrzwecke Vervielfältigung nicht gestattet -Berlin Teil 6: PIC 8259 Studiengang Technische Informatik (TI) Prof Dr-Ing Alfred Rożek nur für Lehrzwecke Vervielfältigung nicht gestattet EMC45: Interrupt 4112002 Folie: 1 Prof Dr-Ing Alfred Rozek Berlin

Mehr

Teil 1: Prozessorstrukturen

Teil 1: Prozessorstrukturen Teil 1: Prozessorstrukturen Inhalt: Mikroprogrammierung Assemblerprogrammierung Motorola 6809: ein einfacher 8-Bit Mikroprozessor Mikrocontroller Koprozessoren CISC- und RISC-Prozessoren Intel Pentium

Mehr

U5-2 Register beim AVR-µC

U5-2 Register beim AVR-µC U5 4. Übungsaufgabe U5 4. Übungsaufgabe U5-2 Register beim AVR-µC U5-2 Register beim AVR-mC Grundlegendes zur Übung mit dem AVR-µC 1 Überblick Register Beim AVR µc sind die Register: I/O Ports Interrupts

Mehr

Kurzanleitung: 1. Anschüsse. MWCLightRGB by VoBo & HaDi-RC

Kurzanleitung: 1. Anschüsse. MWCLightRGB by VoBo & HaDi-RC Kurzanleitung: 1. Anschüsse Input: 3,8 bis 5 Volt!(nicht 5,5 V oder 6 V!) Output: Anschlüsse für WS2812 LED-Bänder (6/9 LED s / Arm) RC1: RC-Kanal -> Auswahl der Funktion/Lichtmuster RC2: RC-Kanal -> Auswahl

Mehr

GdI2 - Systemnahe Programmierung in C Übungen Jürgen Kleinöder Universität Erlangen-Nürnberg Informatik 4, 2006 U4.fm

GdI2 - Systemnahe Programmierung in C Übungen Jürgen Kleinöder Universität Erlangen-Nürnberg Informatik 4, 2006 U4.fm U4 4. Übungsaufgabe U4 4. Übungsaufgabe Grundlegendes zur Übung mit dem AVR-µC Register I/O Ports Interrupts AVR-Umgebung U4.1 U4-1 Grundlegendes zur Übung mit dem AVR-mC U4-1 Grundlegendes zur Übung mit

Mehr

Mini- Mikroprozessor-Experimentier-System. Version 1.0b vom :21. mit einem 8051-Mikrocontroller

Mini- Mikroprozessor-Experimentier-System. Version 1.0b vom :21. mit einem 8051-Mikrocontroller Mini- Mikroprozessor-Experimentier-System mit einem 8051-Mikrocontroller Version 1.0b vom 04.10.2004 14:21 Inhalt 1 Einleitung...3 2 Hardware...4 2.1 Übersicht...4 2.2 Mikrocontroller AT89C51RB2...5 2.3

Mehr

B1 Stapelspeicher (stack)

B1 Stapelspeicher (stack) B1 Stapelspeicher (stack) Arbeitsweise des LIFO-Stapelspeichers Im Kapitel "Unterprogramme" wurde schon erwähnt, dass Unterprogramme einen so genannten Stapelspeicher (Kellerspeicher, Stapel, stack) benötigen

Mehr

LED Skalenbeleuchtung mit einem Arduino

LED Skalenbeleuchtung mit einem Arduino Projektteil: LED Skalenbeleuchtung mit einem Arduino Aufgaben: - Ein Taster schaltet die LED-Beleuchtung ein - Nach Ablauf einer im Programm hinterlegten Zeit schaltet sich die Beleuchtung von selbst wieder

Mehr

MikroController der 8051-Familie

MikroController der 8051-Familie i Dipl.-Ing. Roland Dilsch MikroController der 8051-Familie Aufbau, Funktion, Einsatz Vogel Buchverlag Inhaltsverzeichnis Vorwort 5 1 Was ist ein MikrocontroUer? 13 1.1 Aufbau eines Computers 13 1.2 Entstehung

Mehr

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 -

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikrocomputertechnik Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikroprozessor-Achritekturen Folie 2 Mikroprozessor-Achritekturen Klassifizierung anhand Wortbreite CPU-Architektur und Busleitungen

Mehr

Mikrocomputertechnik. 6. Exceptionhandling ( Ausnahmebearbeitung)

Mikrocomputertechnik. 6. Exceptionhandling ( Ausnahmebearbeitung) 6. Exceptionhandling ( Ausnahmebearbeitung) Exception: Exception ist eine besondere Situation, auf die der Prozessor mit einem speziellen Programm (Exceptionroutine) reagieren muss. Das gerade ablaufende

Mehr

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10. Lehrprozessor: Coldfire MCF-5272 Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.45 Uhr Raum: Aula Bearbeitungszeit: 180 Minuten Erlaubte Hilfsmittel: Gedrucktes Vorlesungsskript von Prof. Neuschwander mit

Mehr

Teil 1: Prozessorstrukturen

Teil 1: Prozessorstrukturen Teil 1: Prozessorstrukturen Inhalt: Mikroprogrammierung Assemblerprogrammierung Motorola 6809: ein einfacher 8-Bit Mikroprozessor Mikrocontroller Koprozessoren CISC- und RISC-Prozessoren Intel Pentium

Mehr

GTP444. GSM-SMS-Fernschaltund Alarmmodul 4-Kanal. Programmierbar am PC mit Software. Kurzanleitung 1/2017. Seite 1 von 7

GTP444. GSM-SMS-Fernschaltund Alarmmodul 4-Kanal. Programmierbar am PC mit Software. Kurzanleitung 1/2017. Seite 1 von 7 GTP444 GSM-SMS-Fernschaltund Alarmmodul 4-Kanal Programmierbar am PC mit Software Kurzanleitung 1/2017 Seite 1 von 7 Technische Daten: Frequenzbereich 900/1800/1900MHz Betriebsspannung 12V DC, max. Stromaufnahme

Mehr

EDT-REFERAT Adressierungsarten

EDT-REFERAT Adressierungsarten EDT-Referat BÜLBÜL Erkan 2ANB 95 /96 Seite 1 EDT-REFERAT Adressierungsarten INHALTSVERZEICHNIS 1.Theoretische Grundlagen 1.0 Einführung 1.1 Programm- & Datenspeicheradressierbereiche 2.Adressierungsarten

Mehr

é Er ist software-transparent, d.h. der Benutzer braucht nichts von seiner Existenz zu wissen. Adreßbus Cache- Control Datenbus

é Er ist software-transparent, d.h. der Benutzer braucht nichts von seiner Existenz zu wissen. Adreßbus Cache- Control Datenbus 4.2 Caches é Cache kommt aus dem Französischen: cacher (verstecken). é Er kann durch ein Anwendungsprogramm nicht explizit adressiert werden. é Er ist software-transparent, d.h. der Benutzer braucht nichts

Mehr

i386 Interrupt-Deskriptortabelle (IDT)

i386 Interrupt-Deskriptortabelle (IDT) Agenda: IRQ-Behandlung in OO-Stubs Interrupts und Traps bei x86 Die Interrupt-Deskriptor-Tabelle (IDT) Aufbau der IDT Traps und Hardware IRQs Der Programmierbare Interruptcontroller PIC 8295A Aufbau Verwendung

Mehr

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note:

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note: Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 95 min. Name nicht vergessen! Geben Sie alle Blätter ab. Die Reihenfolge der Aufgaben ist unabhängig vom Schwierigkeitsgrad. Erlaubte Hilfsmittel

Mehr

Teil 1: Prozessorstrukturen

Teil 1: Prozessorstrukturen Teil 1: Prozessorstrukturen Inhalt: Mikroprogrammierung Assemblerprogrammierung Motorola 6809: ein einfacher 8-Bit Mikroprozessor Mikrocontroller Koprozessoren CISC- und RISC-Prozessoren Intel Pentium

Mehr

Ein- / Ausgabe- Ports

Ein- / Ausgabe- Ports Ein- / Ausgabe- Ports Alle vier parallelen Ports sind bidirektional und bestehen aus einem 8-Bit-Special-Function- Register für die Ausgabedaten, einem Ausgangstreiber und einem Eingabepuffer. Jeder Port-

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Mikrocomputertechnik. Thema: Serielle Schnittstelle / UART

Mikrocomputertechnik. Thema: Serielle Schnittstelle / UART Mikrocomputertechnik Thema: Serielle Schnittstelle / UART Parallele vs. serielle Datenübertragung Parallele Datenübertragung Mehrere Bits eines Datums werden zeitgleich mittels mehrerer Datenleitungen

Mehr

8. Beschreibung des Prozessors MSP 430

8. Beschreibung des Prozessors MSP 430 8. Beschreibung des Prozessors MSP 430 8.1 Die Eigenschaften des MSP 430 8.2 Die Register des MSP 430 8.3 Der Aufbau des Speichers 8.4 Interrupts 8.5 Der Watchdog Programmierkurs II Wolfgang Effelsberg

Mehr

GRUNDLAGEN DER INFORMATIONSTECHNIK. Übungen TEIL 1 RECHNER

GRUNDLAGEN DER INFORMATIONSTECHNIK. Übungen TEIL 1 RECHNER GRUNDLAGEN DER INFORMATIONSTECHNIK Übungen TEIL 1 RECHNER Otto-von-Guericke-Universität Magdeburg Fakultät für Elektrotechnik und Informationstechnik Institut für Elektronik, Signalverarbeitung und Kommunikationstechnik

Mehr

10. Die Adressierungsarten des MSP 430

10. Die Adressierungsarten des MSP 430 10. Die Adressierungsarten 10.1 Übersicht über die Adressierungsarten 10.2 -Operanden 10.3 Indexregister mit Distanz 10.4 Symbolische (relativ zum ) 10.5 Absolute 10.6 Indirekte 10.7 Indirekte Adressierung

Mehr

AVR-Mikrocontroller in BASCOM programmieren, Teil 2

AVR-Mikrocontroller in BASCOM programmieren, Teil 2 jean-claude.feltes@education.lu 1 AVR-Mikrocontroller in BASCOM programmieren, Teil 2 13. Interrupts 13.1 Externe Interrupts durch Taster Wenn Taster mittels Polling abgefragt werden, wie in Teil 1 beschrieben,

Mehr

Stack, Stackpointer, Unterprogramm HP: 0 * 1 * 2 * 3 CAL UP1 4 * 5 * 6 CAL UP2 7 *... UP1: 30 * 33 RET UP2: 40 * 41 CAL UP1 42 * 43 RET

Stack, Stackpointer, Unterprogramm HP: 0 * 1 * 2 * 3 CAL UP1 4 * 5 * 6 CAL UP2 7 *... UP1: 30 * 33 RET UP2: 40 * 41 CAL UP1 42 * 43 RET Stack, Stackpointer, Unterprogramm HP: 0 * 1 * 2 * 3 CAL UP1 4 * 5 * 6 CAL UP2 7 *... UP1: 30 * 33 RET UP2: 40 * 41 CAL UP1 42 * 43 RET Stack, Stackpointer, UP Stack (Stapel, FIFO) wird benötigt UP-Ruf:

Mehr

Name: ES2 Klausur Thema: ARM Name: Punkte: Note:

Name: ES2 Klausur Thema: ARM Name: Punkte: Note: Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 75 min. Name nicht vergessen! Geben Sie alle Blätter ab. Die Reihenfolge der Aufgaben ist unabhängig vom Schwierigkeitsgrad. Erlaubte Hilfsmittel

Mehr

Unified-E Modbus Adapter

Unified-E Modbus Adapter Unified-E Modbus Adapter Betrifft: Version 1.5.0.0 und höher Stand: Februar 2017 Inhalt 1 Allgemeines... 2 2 Adapter-Parameter in Unified-E... 2 3 Adressierung von Datenpunkten... 4 Unified-E Modbus Adapter

Mehr

Folie 1. Folie 2. FH-Augsburg. FH-Augsburg

Folie 1. Folie 2. FH-Augsburg. FH-Augsburg Folie 1 Inhaltsverzeichnis 1 1. Inhaltsverzeichnis 1-3 4. Anschluss von Speicher und Peripherie 5. Anschluss eines 16-Bit Speichers 6. Anschluss eines 16-Bit Speichers mit Bytezugriff 7. Abbildung Speicherbits

Mehr

Kurzanleitung: 1. Anschüsse. MWCLightRGB 2013 by VoBo & HaDi-RC. HW-Version SW-Version 1.2x

Kurzanleitung: 1. Anschüsse. MWCLightRGB 2013 by VoBo & HaDi-RC. HW-Version SW-Version 1.2x Kurzanleitung: 1. Anschüsse IN: 3,8 bis 5 Volt!(nicht 5,5 V oder 6 V!) OUT: Anschlüsse für WS2812 LED-Bänder (6/9 LED s / Arm) RC1: RC-Kanal -> Auswahl der Funktion/Lichtmuster RC2: RC-Kanal -> Auswahl

Mehr

Beschreibung: SPEICHER: --------- GRUNDPLATINE AC 1: ------------------

Beschreibung: SPEICHER: --------- GRUNDPLATINE AC 1: ------------------ Beschreibung: M O D U L 1 SPEICHERVERWALTUNG AC 1 ------------------------------------------------------------- Weiterhin Anleitung: Programmpaket X V.2 -------------------------------------------------------------

Mehr

2

2 TINF Interrupts EDT-Referat Jürgen Schwarzbauer 2ANB 1995/96 Inhalt : Was ist ein Interrupt? Zweck von Interrupts Maskierbare und nicht maskierbare Interrupts Aufruf eines Interrupts Anwendung von Interrupts

Mehr

Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520

Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520 Schaltungshinweise zum Linux Control System mit DIL/NetPC DNP/7520 Das Linux Control System ist eine typische Anwendung für das Linux Control Modul DIL/NetPC DNP/7520. Die Abbildung 1 zeigt die Blockschaltung.

Mehr

Ein- Ausgabeeinheiten

Ein- Ausgabeeinheiten Kapitel 5 - Ein- Ausgabeeinheiten Seite 121 Kapitel 5 Ein- Ausgabeeinheiten Am gemeinsamen Bus einer CPU hängt neben dem Hauptspeicher die Peripherie des Rechners: d. h. sein Massenspeicher und die Ein-

Mehr

Übung zu Betriebssystembau (Ü BS)

Übung zu Betriebssystembau (Ü BS) Übung zu Betriebssystembau (Ü BS) Interruptbehandlung in OOStuBS Wanja Hofer Lehrstuhl für Informatik IV WS 07/08 1 Agenda: IRQ-Behandlung in OOStuBS Interrupts und Traps beim x86 Die Interrupt-Deskriptor-Tabelle

Mehr

Klausur zur Mikroprozessortechnik

Klausur zur Mikroprozessortechnik Prof. Dr. K. Wüst WS 2001 FH Gießen Friedberg, FB MNI Studiengang Informatik Klausur zur Mikroprozessortechnik Nachname: Vorname: Matrikelnummer: 7.3.2001 Punkteverteilung Aufgabe Punkte erreicht 1 3 2

Mehr

EX Slot PCI Box Zur Erweiterung um 4 PCI Anschlüsse für ExpressCard

EX Slot PCI Box Zur Erweiterung um 4 PCI Anschlüsse für ExpressCard Bedienungsanleitung EX-1015 4 Slot PCI Box Zur Erweiterung um 4 PCI Anschlüsse für ExpressCard V1.1 15.03.13 EX-1015 4 Slot PCI-Erweiterung Inhaltsverzeichnis 1. BESCHREIBUNG 3 2. LAYOUT 3 3. HARDWARE

Mehr

3. Hardware CPLD XC9536 von Xilinx. CPLD / FPGA Tutorial

3. Hardware CPLD XC9536 von Xilinx. CPLD / FPGA Tutorial 3. Hardware 3.1. CPLD XC9536 von Xilinx Programmierbare Logikbausteine sind in unzähligen Varianten verfügbar. Die Baugrößen reichen von 20 bis 1704 Pins. Der Preis beginnt bei wenigen Euro für einfache

Mehr

IR-Schaltinterface IR-001

IR-Schaltinterface IR-001 Seite 1 von 9 IR-Schaltinterface IR-001 Inhaltsverzeichnis 1. Einleitung...2 2. Eigenschaften...2 2.1 Grundlegende Eigenschaften...2 2.2 Optionale Eigenschaften (gegen Aufpreis)...2 3. Hardware...2 4.

Mehr

SMP Übung 9 - Lösungsvorschlag

SMP Übung 9 - Lösungsvorschlag 1. Aufgabe: Sieben Segment Anzeigen, Multiplexing, a) Bestimmung der Zeichenkodierung Bei der Zeichenkodierung ist zu beachten, dass ein Low-Pegel auf der Leitung PDA(j) (in Verbindung mit einem Low- Pegel

Mehr

13.3 Datenpfade zur Befehlsdurchführung

13.3 Datenpfade zur Befehlsdurchführung 13.3 atenpfade zur Befehlsdurchführung Bernd Becker Technische nformatik Grober ufbau der E-T- /O CPU C Speicher BB - T 13.3/2 1 CPU, Memory und ihr Zusammenspiel! 3 Busse:! 32 Bit breiter atenbus = [31,0]!

Mehr

Speicheraufbau des AT89C5131

Speicheraufbau des AT89C5131 Speicheraufbau des AT89C5131 Prinzip: - getrennter Programmspeicher (ROM) und Datenspeicher (RAM) - interner Speicher (auf dem Chip) und externer Speicher (Zusatzbausteine) Das Experimentalsystem hat keinen

Mehr

05. Assembler-Programmierung. Datenstrukturen des ATMega32. Literatur

05. Assembler-Programmierung. Datenstrukturen des ATMega32. Literatur 0. Assembler-Programmierung Datenstrukturen des ATMega32 Literatur mikrocontroller.net avr-asm-tutorial.net asm Alles über AVR AVR-Assembler-Einführung Assembler AVR-Aufbau, Register, Befehle 2008: ouravr.com/attachment/microschematic/index.swf

Mehr

Studiengang Maschinenbau, Schwerpunkt Mechatronik (früher: Automatisierungstechnik) Seite 1 von 8

Studiengang Maschinenbau, Schwerpunkt Mechatronik (früher: Automatisierungstechnik) Seite 1 von 8 Studiengang Maschinenbau, Schwerpunkt Mechatronik (früher: Automatisierungstechnik) Seite 1 von 8 Hochschule München Fakultät 03 Zugelassene Hilfsmittel: alle eigenen, Taschenrechner Viel Erfolg!! Komponenten

Mehr

Einführung in AVR-Assembler

Einführung in AVR-Assembler Einführung in AVR-Assembler Easterhack 2008 Chaos Computer Club Cologne Stefan Schürmans, BlinkenArea stefan@blinkenarea.org Version 1.0.4 Easterhack 2008 Einführung in AVR-Assembler 1 Inhalt Vorstellung

Mehr

Einführung in AVR Assembler

Einführung in AVR Assembler Einführung in AVR Assembler Dennis Fassbender Institut für Technik Autonomer Systeme (LRT8) Universität der Bundeswehr München 09042014 Was ist Assembler? Low-level-Programmiersprache Erlaubt direkten

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

TECHNISCHE HOCHSCHULE NÜRNBERG GEORG SIMON OHM Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur Speicher Übersicht Speicherhierarchie Cache Grundlagen Verbessern der Cache Performance Virtueller Speicher SS 2012 Grundlagen der Rechnerarchitektur Speicher 2 Speicherhierarchie

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

E. Fehlermeldungen von PC-Bibliotheken

E. Fehlermeldungen von PC-Bibliotheken Anhang Fehlermeldungen von PC-Bibliotheken -1. Fehlermeldungen von PC-Bibliotheken Beim insatz von MODULAR-4/486 und Multi-LAB/2 Karten und den dazugehörigen Bibliotheken können die folgenden Fehlermeldungen

Mehr

Konzepte von Betriebssystem- Komponenten Ausnahme- / Unterbrechungsbehandlung

Konzepte von Betriebssystem- Komponenten Ausnahme- / Unterbrechungsbehandlung Konzepte von Betriebssystem- Komponenten Ausnahme- / Unterbrechungsbehandlung Sommersemester 2005 Uni Erlangen Lehrstuhl für Informatik 4 Verteilte Systeme und Betriebsysteme Tarek Gasmi Tarek.Gasmi@informatik.stud.uni-erlangen.de

Mehr

Prozessor HC680 fiktiv

Prozessor HC680 fiktiv Prozessor HC680 fiktiv Dokumentation der Simulation Die Simulation umfasst die Struktur und Funktionalität des Prozessors und wichtiger Baugruppen des Systems. Dabei werden in einem Simulationsfenster

Mehr

Inhaltsverzeichnis 1 Ein-Bit-Rechner Mikrorechentechnik-Grundlagen Das Mikrocontrollersystem ein Überblick am Beispiel MSP430F1232

Inhaltsverzeichnis 1 Ein-Bit-Rechner Mikrorechentechnik-Grundlagen Das Mikrocontrollersystem ein Überblick am Beispiel MSP430F1232 Inhaltsverzeichnis 1 Ein-Bit-Rechner... 15 1.1 Rechenwerk... 15 1.1.1 Register und Takt... 16 1.1.2 Zwischenspeicher... 17 1.1.3 Native und emulierte Datenmanipulationsbefehle... 18 1.2 Steuerwerk... 20

Mehr

Vorwort 8. Kap. 1: Grundlagen 10

Vorwort 8. Kap. 1: Grundlagen 10 Inhaltsverzeichnis Vorwort 8 Kap. 1: Grundlagen 10 1.1 Analogie zwischen der Spieluhr und einem Prozessor 10 1.2 Unterschiede zwischen Mikroprozessor und Spieluhr 11 1.3 Die Programmierung eines Mikroprozessors

Mehr

Projektlabor. LCD Ansteuerung

Projektlabor. LCD Ansteuerung Projektlabor LCD Ansteuerung Contents 1 LCD 3 2 Hardware des Displays 3 2.1 Hardware............................... 3 2.2 Verbindung.............................. 4 3 Softwareansteuerung 6 4 Quellen 10

Mehr

Grundlagen der Informatik 2 Modul Systemnahe Programmierung in C (SPiC) Klausur am 25. Juli 2008

Grundlagen der Informatik 2 Modul Systemnahe Programmierung in C (SPiC) Klausur am 25. Juli 2008 Grundlagen der Informatik 2 Modul Systemnahe Programmierung in C (SPiC) SS 2008 Dr.-Ing. Jürgen Kleinöder Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 4 (Verteilte Systeme und Betriebssysteme)

Mehr

11. Die PC-Schnittstelle

11. Die PC-Schnittstelle PC-Schnittstelle Funktion -1. Die PC-Schnittstelle.1. Funktion Die folgenden Angaben gelten ohne Einschränkung für den PC, PC-XT, PC-AT, AT-386, AT-486 und kompatible Rechner. Sie sind nur für jene interessant,

Mehr

Hardwareaufbau der Mikrocontroller der 51er -Familie

Hardwareaufbau der Mikrocontroller der 51er -Familie Hardwareaufbau der Mikrocontroller der 51er -Familie Mikrocontroller (51er Familie) Quarz Port Timer A D Serielle Schnittst. CPU ROM RAM Ext. ROM Ext. RAM Programmspeicher (ROM) Datenspeicher (RAM) FFFFh

Mehr

8051-Mikrocontroller-Familie

8051-Mikrocontroller-Familie 8051-Mikrocontroller-Familie 8051-Komponenten und -Eigenschaften Prof. Dr.-Ing. Alfred Rożek MC65-Teil1.doc 1/17 Prof. Dr.-Ing. Alfred Rożek MC65-Teil1.doc 2/17 Prof. Dr.-Ing. Alfred Rożek MC65-Teil1.doc

Mehr

Betriebssystembau. 3. Übung. Michael Engel Arbeitsgruppe Eingebettete Systemsoftware. Lehrstuhl für Informatik 12 TU Dortmund

Betriebssystembau. 3. Übung. Michael Engel Arbeitsgruppe Eingebettete Systemsoftware. Lehrstuhl für Informatik 12 TU Dortmund Betriebssystembau 3. Übung Michael Engel Arbeitsgruppe Eingebettete Systemsoftware Lehrstuhl für Informatik 12 TU Dortmund michael.engel@tu-dortmund.de http://ess.cs.uni-dortmund.de/~me/ 1 Agenda: IRQ-Behandlung

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

Operationsmodi des Timers Modus 0 : Ausschalten des Zählers

Operationsmodi des Timers Modus 0 : Ausschalten des Zählers Ergänzung PIAT Operationsmodi des Timers Modus 0 : Ausschalten des Zählers LC und UC wird angehalten. IRQT wird gesperrt (Bit 7 im CMCR wird 0) UF Bit wird gelöscht (Bit 7 im SR) Die Daten in UC, LC, UL,

Mehr

Kurzbeschreibung für den Kombi-BWS mit 80x24 und 64x32 Darstellung

Kurzbeschreibung für den Kombi-BWS mit 80x24 und 64x32 Darstellung 29.09.2013 Kurzbeschreibung für den Kombi-BWS mit 80x24 und 64x32 Darstellung Auf der Grundlage des Color-BWS für den AC1 wurde eine BWS-Karte entwickelt, die in verschiedenen Rechnern eingesetzt werden

Mehr

Einführung in die Welt der Microcontroller

Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Inhaltsverzeichnis 1 Übersicht Möglichkeiten Einsatz 2 Microcontroller

Mehr

Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13. Teil 8: gavrasmw und weitere Beispiele

Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13. Teil 8: gavrasmw und weitere Beispiele Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13 Eine Einführung in Aufbau, Funktionsweise, Programmierung und Nutzen von Mikroprozessoren Teil 8: gavrasmw und weitere Beispiele gavrasmw

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Grundlagen der Rechnerarchitektur. Ein und Ausgabe

Grundlagen der Rechnerarchitektur. Ein und Ausgabe Grundlagen der Rechnerarchitektur Ein und Ausgabe Übersicht Grundbegriffe Hard Disks und Flash RAM Zugriff auf IO Geräte RAID Systeme SS 2012 Grundlagen der Rechnerarchitektur Ein und Ausgabe 2 Grundbegriffe

Mehr

MEphisto Scope 1 A D. Prozessor-Steuerung. Interner Daten-/Steuer-Bus. Digital I/O-Teil

MEphisto Scope 1 A D. Prozessor-Steuerung. Interner Daten-/Steuer-Bus. Digital I/O-Teil Funktionsschaltbild MEphisto Scope 1 Kanal A A D * Werte- Speicher Kanal B A D (256 ks) Prozessor-Steuerung 26polige Sub-D Buchse Ext. Trigger 24 bits Digital I/O-Teil Interner Daten-/Steuer-Bus MEphisto

Mehr

Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c

Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c 1 Transportbefehle 1.1 Verwendung nur Akku und Register (R0, R1,... R7) 1.1.1 Kopieren Sie den Wert aus Register1 nach

Mehr

Servo-Modul Version

Servo-Modul Version Servo-Modul Version 1.0 24.06.2010 Mit dem Servo-Modul ist es möglich bis zu 8 Modellbau-Servos (analoges Signal) an zu steuern. Die Ansteuerung kann wahlweise über den I2C-Bus, einen COM-Port (RS232)

Mehr

Atmel AVR für Dummies

Atmel AVR für Dummies Atmel AVR für Dummies fd0@koeln.ccc.de 29.12.2005 Übersicht 1 Hardware Kurzvorstellung Atmega8 Programmierkabel (Eigenbau vs. Kommerzlösung) Alternative: Bootloader (Programmieren via rs232) Software Speicher

Mehr

SMP Übung 2 1. Aufgabe

SMP Übung 2 1. Aufgabe SMP Übung 2 1. Aufgabe a) Kilo: K = 2 10 = 1.024 Mega: M = 2 20 = 1.048.576 Giga: G = 2 30 = 1.073.741.824 Tera: T = 2 40 = 1.099.511.627.776 b) Der Prozessor hat 30 Adressleitungen A[31..2], mit denen

Mehr

AVR-8-bit-Mikrocontroller Gruppe AVR-C-Projekte Teil AVR_PB_LED

AVR-8-bit-Mikrocontroller Gruppe AVR-C-Projekte Teil AVR_PB_LED 1. Einfache Beschaltung von LEDs und Tastern 1.1 Zur Hardware der LEDs und Taster 1.2 Beschaltung 1.3 Funktionsbeschreibung Teil 602-2_Draht_LCD 2 Ein LCD-Display anschalten und ansteuern 2.1 Zur Hardware

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 3 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Programmierbarer Intervall-Timer 8253/8254 (PIT)

Programmierbarer Intervall-Timer 8253/8254 (PIT) -Berlin Teil 4: Timer Studiengang Technische Informatik (TI) Prof Dr-Ing Alfred Rożek nur für Lehrzwecke Vervielfältigung nicht gestattet EMC45: Teil4 22112003 Folie: 1 Prof Dr-Ing Alfred Rozek Berlin

Mehr

80C535-Mikrocontroller-Board im Streichholzschachtelformat

80C535-Mikrocontroller-Board im Streichholzschachtelformat RIBU 18. A-8160 Weiz. Tel. 03172/64800. Fax 03172/64806 RIBUMühlgasse ELEKTRONIK VERSAND e-mail: office@ribu.at. Internet: http://www.ribu.at 80C535-Mikrocontroller-Board im Streichholzschachtelformat

Mehr

EX PCI & 2 PCI-E

EX PCI & 2 PCI-E Bedienungsanleitung EX-1041 2 PCI & 2 PCI-E Box Zur Erweiterung von 2 PCI & 2 PCI-Express Anschlüsse für lange Karten inklusive internem 220Watt Netzteil V1.3 18.12.13 EX-1041 2 PCI & 2 PCI-E Slot Erweiterung

Mehr

2017/01/23 15:50 1/5 Bedienung

2017/01/23 15:50 1/5 Bedienung 2017/01/23 15:50 1/5 Bedienung Bedienung (J.Müller, Hilfe zu JTCEMU) Das originale 2K-System Das 2 KByte große Betriebssystem bietet die wichtigsten Funktionen zur Eingabe und Verwaltung von BASIC-Programmen.

Mehr

9. Assembler: Der Prozessor Motorola 68000

9. Assembler: Der Prozessor Motorola 68000 9.1 Architektur des Prozessors M 68000 9.2 Adressierungsarten des M 68000 9-1 9.1 Beschreibung des Prozessors M 68000 Charakteristische Daten des 56 Maschinenbefehle 14 Adressierungsarten Zweiadressmaschine

Mehr

Temperaturmodul. Software. Bedeutung der Leuchtdioden. Kanal-LEDs. System-LEDs. Start nach Reset

Temperaturmodul. Software. Bedeutung der Leuchtdioden. Kanal-LEDs. System-LEDs. Start nach Reset Temperaturmodul Software Bedeutung der Leuchtdioden Alle LED sind sog. Bicolor-LEDs, die, wie der Name nicht sagt, drei Farben anzeigen können. Rot, grün und gelb, wenn rot und grün gemeinsam aktiviert

Mehr