Digitaltechnik # Rechnerübung 1 Grundvorlesung, SS 2005 Departement Informatik Prof. Daniel Kröning Revision: 1.

Größe: px
Ab Seite anzeigen:

Download "Digitaltechnik # Rechnerübung 1 Grundvorlesung, SS 2005 Departement Informatik Prof. Daniel Kröning Revision: 1."

Transkript

1 Digitaltechnik # Rechnerübung 1 Grundvorlesung, SS 2005 Institut für Computersysteme Departement Informatik Prof. Daniel Kröning Revision: 1.29 ETH Zürich Step-by-step Tutorial für FPGA-Board und Design Tools Übungsziel In dieser Übung sollen Sie sich vertraut machen mit den Design Tools die heutzutage eingesetzt werden. Der Entwurfsprozess beginnt bei der Spezifikation und endet schliesslich beim Transistor. Normalerweise überlegt man sich mit Papier und Bleistift die Architektur und beginnt dann VHDL Code (Register Transfer Level) zu schreiben. Die Übersetzung in die unteren Stufen wird durch ein Synthesetool vorgenommen; wir werden auf Gatter Level beginnen und schliesslich bei dem RTL landen. Spezifikation Architektur RTL Gatter Transistor Abbildung 1: Synthese Windows starten Zunächst muss ggf. Windows gestartet werden. Starten Sie dazu den Rechner neu und wählen Sie im Bootmenü Windows. Nun können Sie sich mit n.ethz-benutzernamen und Passwort einloggen. Praktikumsboard Auf dem Board befindet sich ein Spartan-3 FPGA (Field-Programmable Gate Array; d.h. programmierbarer Logikbaustein) der Firma Xilinx. In der Industrie werden solche Chips normalerweise für Rapid Prototyping oder Designs mit kleineren Stückzahlen eingesetzt. Das verwendete Board besitzt einen VGA Ausgang, eine RS-232 Schnittstelle, einen PS/2 Anschluss, 1MB SRAM und 2MBit Flash. Das FPGA selbst ist mit 50 Mhz getaktet (FPGAs weisen oftmals eine deutlich niedrigere Taktfrequenz auf als spezialisierte, nicht konfigurierbare ICs wie z.b. Prozessoren). An dieser Stelle wird nur auf das zum Übungsbetrieb Relevante eingegangen; das komplette Handbuch finden Sie auf der Homepage zur Vorlesung. Auf dem FPGA-Board ist rechts unten (Abbildung 2, Nummer 11) eine Reihe von Schiebeschaltern (SW7-SW0, siehe Tabelle 2) montiert, die direkt mit einigen Eingängen des FPGAs verbunden sind. Schalter die,,oben oder,,an sind setzen den entsprechenden Eingang auf High (d.h. 1), diejenigen die,,unten oder,,aus sind erzeugen ein Low (d.h. 0) am Eingang.

2 R Chapter 1: Introductio Rechnerübung A1 Expansion Connector 20 A2 Expansion Connector 5 VGA POWER 6 RS V DONE PROG 25 POWER RS Mbit PlatformFlash 11 1 XILINX XC3S200 FPGA PS/2 B1 Expansion Connector Figure 1-2: Abbildung 2: Xilinx Spartan-3 Board Xilinx Spartan-3 Starter Kit Board (Top Side) 1 FPGA 2 Flash ROM 10 7-Segmentanzeige 11 8 Schiebeschalter 12 8 Leuchtdioden (LED) 13 4 Taster 17 Reset Taste (lädt FPGA mit Konfiguration aus dem Flash) 18 leuchtet, wenn das FPGA fertig programmiert wurde Tabelle 1: Legende zu Abbildung 2 ug130_c1_02_ MHz Schalter SW7 SW6 SW5 SW4 SW3 SW2 SW1 SW0 4 FPGA Pin K13 K14 J13 J14 H13 H14 G12 F12 256Kx16 SRAM 256Kx16 SRAM Tabelle 2: Schiebeschalter Mit der Nummer 13 auf Abbildung 2 sind Drucktaster bezeichnet, welche im gedrückten Zustand die Eingänge am FPGA auf High setzen (Tabelle 2). 29 Schalter BTN3 BTN2 BTN1 BTN0 FPGA Pin 14 L14 L13 M14 M131.2V 6 Tabelle 3: Druckschalter Die 8 Leuchtdioden (Kurzform LED für Light-Emitting-Diode - Licht aussendende Diode) die mit der Nummer 12 angeschrieben sind, werden direkt von den Ausgängen des FPGA angesteuert. Um eine LED einzuschalten muss der zugehörige Ausgang auf 1 gesetzt werden. 2.5V 28 Figure 1-3: LED LD7 LD6 LD5 LD4 LD3 LD2 LD1 LD0 FPGA Pin P11 P12 N12 P13 N14 L12 P14 K12 Xilinx Spartan-3 Starter Kit Board (Bottom Side) Tabelle 4: LEDs ug130_c1_03_042704

3 Rechnerübung 1 3 Inbetriebnahme 1. Schliessen Sie das Verbindungskabel an den mit (22) bezeichneten Ort auf dem Board und den Parallelport (violett) des Computers an. Beachten Sie die Polung des Steckers! Die Beschriftung muss mit der auf dem Board übereinstimmen. 2. Schliessen Sie das Netzteil an. 3. Sobald das Board mit Strom versorgt ist, wird die Konfiguration des FPGAs (1) aus dem Flash (2) geladen und ein Demoprogramm läuft ab. Xilinx ISE (Integrated Synthesis Environment) Starten Sie die FPGA-Entwicklungsumgebung mittels Start Programme Xilinx ISE 6 Project Navigator Das Hauptfenster (Bild 3) ist in vier Bereiche aufgeteilt: Übersichtsfenster zeigt hierarchisch alle zum Projekt gehörigen Files an. Ein Doppelklick auf ein File startet das zugehörige Tool im Editierfenster. Prozessfenster Ein Doppelklick mit der linken Maustaste bzw. der Menüpunkt,,run in dem auf einen Rechtsklick erscheinenden Pop-Up Menü startet den zugehörigen Prozess. Ein grünes Häckchen markiert einen erfolgreich abgelaufenen Prozess. Konsole zeigt die Ausgabe des zuletzt abgelaufenen Prozesses inkl. Warnungen und Fehlermeldungen an. Editierfenster Hier können z.b. VHDL Files bearbeitet werden. Uebersichtsfenster Editierfenster Prozessfenster Konsole Abbildung 3: Xilinx Project Navigator

4 Rechnerübung 1 4 Aufgabe 1.1 Gatter Schema 1. Erstellen Sie zuerst ein neues Projekt: File New Project (Bild 4). Wählen Sie als,,schematic als,,top-level Module Type. Dieses Programm scheint noch Überreste von Windows 3.x Zeiten zu haben und verträgt z.b. Leerzeichen in Verzeichnisnamen nicht; Ihr Home-Verzeichnis sollte aber auch unter,,t: zu finden sein. Abbildung 4: Neues Projekt erstellen Im nachfolgenden Fenster wählen Sie für das Digilent Spartan 3 Board folgende Einstellungen (Bild 5): Device Family Spartan 3 Device xc3s200 Package ft256 Speed Grade -4 Abbildung 5: Einstellungen für Spartan-3 Board Überspringen Sie die nächsten beiden Fenster mit,,weiter und klicken Sie auf,,fertig stellen. 2. Fügen Sie dem Projekt nun ein weiteres File hinzu, indem Sie im Übersichtsfenster mit der Rechten Maustaste klicken und,,new Source auswählen. Markieren Sie auf der linken Seite,,Schematic und klicken Sie auf,,weiter und,,fertig stellen (Siehe Bild 6).

5 Rechnerübung 1 5 Abbildung 6: Neues Schema hinzufügen Nun öffnet sich ein neues Fenster zur Schemaeingabe (Bild 7). Select Cursor Add Wire Add I / O Marker Add symbol Abbildung 7: Schaltung zeichnen

6 Rechnerübung Bauen Sie nun folgende Funktion mit Gattern auf: Z = (A B) (A B) (siehe Bild 7). Platzieren Sie dazu zuerst die Gatter indem Sie das,,add Symbol Tool auswählen und in der,,logic Kategorie das entsprechende Gatter markieren. Anschliessend können Sie mit,,add Wire die Bauelemente verdrahten und mit,,add I/O Marker die Ein- bzw. Ausgänge festlegen. Den,,I/O Markern werden eindeutige Defaultnamen zugeordnet; um diese zu ändern wählen Sie das,,select Cursor Tool aus und machen einen Doppelklick auf den entsprechenden Marker. Im nachfolgenden Fenster können Sie den Namen ändern und angeben, ob dies ein Ein- oder Ausgang ist (Bild 8). Benennen Sie die Inputs A und B und den Ausgang Z. Abbildung 8: I/O Marker umbenennen Wenn Sie das Schema fertig gezeichnet haben, schliessen Sie den Schemaeditor wieder. 4. Nun müssen Sie die virtuellen,,i/o Marker den physikalischen Pins des FPGA s zuweisen, doppelklicken Sie dazu im Prozessfenster (siehe Bild 3) auf User Constraints Assign Package Pins. (Beantworten Sie die ev. nachfolgende Frage einfach mit,,ok ). In der linken unteren Hälfte können Sie nun jeden Input-Pin einem Druck- oder Schiebeschalter (siehe Tabellen 3 und 2) und jeden Output-Pin einer LED (Tabelle 4) zuweisen. Achten Sie bitte darauf, dass Sie keinen Pin zuzuweisen vergessen (erkennbar an einer fetten Schrift im linken oberen Teil) und dass Sie keinen Ausgang einem Schalter zuweisen! Ein Kurzschluss mit Beschädigung des FPGAs wäre die Folge! Nehmen Sie folgende Zuweisung vor:,,i/o Name,,I/O Direction,,Loc Z Output P11 B Input K13 A Input K14 Speichern Sie Ihre Änderungen und schliessen Sie das Fenster wieder. (Achten Sie im Folgenden darauf, dass im Übersichtsfenster das Schema, d.h.,,logic.sch ausgewählt ist, siehe Abbildung 3). 5. Öffnen Sie das Pop-Up Menü (rechte Maustaste) über Generate Programming File und klicken Sie auf Properties... (Bild 10). Stellen Sie Startup Options FPGA Start-Up Clock auf JTAG Clock (Bild 11). 6. Starten Sie nun die Synthese: Rechtsklick auf Generate Programming File Run. 7. Wenn alle Prozesse fehlerfrei abgeschlossen worden sind kann die Programmierung beginnen: Rechtsklick auf Configure Device (impact) Run. Wählen Sie im neu geöffneten Fenster: Boundary-Scan Mode, OK Automatically Connect to cable and identify Boundary-Scan chain, OK. Im nachfolgenden Fenster erscheinen zwei ICs, das linke ist das FPGA selbst; weisen Sie ihm das File,,logic.bit zu. Das rechte ist das Flash-ROM, in das wir die Daten aber nicht ablegen werden; wählen Sie im Dateiauswahldialog,,Bypass aus (rechts unten).

7 Rechnerübung 1 7 Abbildung 9: Pin Assignment. Abbildung 10: Generate Einstellungen

8 Rechnerübung 1 8 Abbildung 11: Startup Options FPGA Start-Up Clock auf JTAG Clock setzen Abbildung 12: Design auf FPGA Übertragen. 8. Klicken Sie anschliessend mit der rechten Maustaste auf das linke IC und wählen Sie Programm... Überspringen Sie das nachfolgende Fenster mit,,ok. Nun wird das FPGA neu programmiert... Manchmal schlägt die Übertragung auch fehl; wiederholen Sie in diesem Fall den Vorgang einfach noch einmal. Überprüfen Sie nun die Schaltung auf dem FPGA! Welche Funktion wurde implementiert?

9 Rechnerübung 1 9 VHDL-Einführung Nun begeben wir uns eine Stufe höher (siehe Bild 1) und bauen die selbe Funktion auf Register Transfer Level (d.h. in VHDL) auf. Laden Sie das File dt-pract1.zip von der Vorlesungsseite herunter und entpacken Sie es in Ihrem Homeverzeichnis. Öffnen Sie im Verzeichnis part1 das Projekt part1.npl: File Open Project (gehen Sie wieder von,,t: aus) Aufgabe 1.2 VHDL-Syntax Das VHDL-Modell in Bug1.vhdl hat noch einige syntaktische Fehler. Finden Sie diese, bis es erfolgreich synthetisiert wird! Das heisst, der Synthetisierer darf keine Fehlermeldungen mehr ausgeben. Wählen Sie dazu die zu synthetisierte Datei im Übersichtsfenster aus und klicken Sie mit der rechten Maustaste im Prozessfenster auf Synthesize - XST und starten den Prozess mit run (siehe Abbildung 13). (Ev. müssen Sie die Datei zuerst speichern, bevor Sie run auswählen können.) Abbildung 13: Design synthetisieren. Wenn Sie nun im Prozessfenster Synthesize - XST View RTL Schematic mit einem Doppelklick starten, dann können Sie sich anschauen, wie der Synthetisierer aus dem VHDL Code die Gatter zusammengebaut hat. In Abbildung 14 sehen Sie das ganze Design als Black Box dargestellt, so wie es durch die entity Deklaration im VHDL Code spezifiziert wird. Mit einem Doppelklick auf dieses Symbol wird der innere Aufbau stufenweise dargestellt (Abbildung 15). Mit den grünen Pfeilen (mit,,pop /,,Push angeschrieben) können Sie in eine selektierte Komponente hineinschauen (,,Push ) oder mit,,pop wieder zurückgehen.

10 Rechnerübung 1 10 Abbildung 14: Pop Push Abbildung 15: Aufgabe 1.3 Multiplexer Öffnen Sie nun das Projekt in part2. Ein Multiplexer ist eine Einheit, welche drei Eingänge hat: sel, i 1 und i 0. 1 Dabei wird beim einzigen Ausgang o i 1 zurückgegeben, falls sel = 1, und i 0 sonst. Untenstehend eine vereinfachte Wahrheitstabelle, wobei für Don t care steht: 1 Die ganze Welt benutzt in der Digitaltechnik jeweils das höchste Bit (MSB) zuerst. Die ganze Welt? Nein, ein nicht ganz kleiner Prozessorhersteller in den USA leistet nach wie vor dieser intuitiven Konvention heftigen Widerstand. Dank einer Prozessorfamilie, welche findige Ingenieure seit langem zusammenbrauen, ist diese Firma praktisch unbesiegbar.

11 Rechnerübung 1 11 sel i 1 i 0 o a) Entity-Deklaration Öffnen Sie das File Mux2to1.vhdl (Doppelklick im Übersichtsfenster). Dieser Teil enthält die Inputs und Outputs sowie die dazugehörigen Datentypen. Vervollständigen Sie die Deklaration der Entity zu einem Multiplexer mit sel, i 1, i 0 und o. (Wichtig: Benennen Sie die Inputs und Outputs genau wie angegeben, wenn Sie den Multiplexer auf dem Board laufen lassen möchten.) b) Verhalten des Multiplexers: Architecture Die Architecture beschreibt nun das Verhalten des Multiplexers mit dem in der Entity vorgegebenen Interface. Überlegen Sie sich, wie Sie die oben beschriebene Entscheidung mit Hilfe der Wertetabelle in eine Boole sche Formel umwandeln. Fügen Sie dann in der Architecture func diese Formel ein. Versuchen Sie Ihr Design (wie in der vorherigen Aufgabe beschrieben) zu synthetisieren! c) Testbench / Simulation Nun sollten Sie nicht der Implementierung blind vertrauen. Darum wird diese getestet. Im File Mux2to1 tb.vhdl finden Sie das Skelett zu einer Testbench. Diese enthält wie üblich keine Ein- und Ausgänge. Stattdessen verwendet sie die Entity Mux2to1 und testet diese systematisch mit verschiedenen Werten. Fügen Sie unten im Code weitere Eingaben ein, um alle möglichen Kombinationen von Inputs zu testen. Die Testbench ist nicht synthetisierbar, da sie Code enthält, der sich nicht direkt in Gatter übersetzen lässt, wie z.b. wait for 50 ns. Starten Sie daher ModelSim zur Simulation Ihres Multiplexers: Programme ModelSim XE III ModelSim. Öffnen Sie part2/part2.mpf über File Open (ev. müssen Sie dazu im Filedialog den Filetyp auf,,project Files / *.mpf umstellen, damit die Datei angezeigt wird). ModelSim kompiliert die Dateien, so dass diese im Simulator lauffähig sind und kann daher mit den synthetisierten Netzlisten nichts anfangen. Kompilieren Sie deshalb alle Dateien: Compile Compile All (siehe 16). Abbildung 16: Modelsim Falls sich alle Dateien kompilieren lassen (bei Status erscheint ein grünes Häkchen), lässt sich die Simulation starten,

12 Rechnerübung 1 12 indem Sie von der Project zur Library Ansicht wechseln und nach einem Rechtsklick auf work/mux2to1 tb Simulate auswählen (siehe Abbildung 17). Abbildung 17: Simulation starten Nun müssen Sie die Signale auswählen, die Sie anzeigen lassen möchten: Rechtsklick auf Mux2to1 tb/dut Add Add to Wave. Lassen Sie die Simulation laufen, indem Sie run -all in die Kommandozeile in der unteren Hälfte eingeben (siehe Abbildung 18). Abbildung 18: Simulation des Multiplexers Falls Sie möchten, können Sie Ihr Design nun, wie in der vorherigen Aufgabe beschrieben, aufs Board übertragen und laufen lassen: wechseln Sie zurück zur Xilinx ISE, wählen Sie die Datei Mux2to1.vhdl aus und starten Sie GenerateGenerate Programming File. Der Schiebeschalter ganz links entspricht dem Signal sel und die beiden Nachfolgenden den beiden anderen Eingängen des Multiplexers. Aufgabe 1.4 Multiplexer mit Netzliste Wechseln Sie wieder zur Xilinx ISE und bleiben Sie nun im selben Projekt part2. Den vorher verwendeten Multiplexer können Sie auch anders implementieren, indem Sie statt den eingebauten logischen Operationen ein vorgegebenes Nand 2- Gatter verwenden. Dieses hat zwei Inputs und einen Output.

13 Rechnerübung 1 13 a) Umwandlung der Logik zu nand Angenommen, Sie dürften nur nand-gatter mit zwei Eingängen verwenden. Es ist bekannt, dass jede Boole sche Funktion sich durch genügend viele solche Gatter darstellen lässt. Formen Sie die in der Architecture func verwendete Formel so um, dass sie nur noch nand enthält! Benutzen Sie die aus der Logik bekannten Umformungen. b) Neue Architecture In Mux2to1.vhdl finden Sie ganz unten ein Skelett für die zweite Architecture net, welche die Entity Mux2to1 implementiert. Um die Implementation zu vervollständigen, müssen Sie: Die Benutzung der Komponente Nand 2 vervollständigen. Verschiedene Gatter instantiieren und diese verbinden. Dabei brauchen Sie sicher noch Signale, die für die Drähte stehen, welche die einzelnen Gatter verbinden. Danach können Sie die Testbench so abändern, dass die neue Architektur verwendet wird. Testen Sie das Ergebnis! Wie lange dauert es nun maximal, bis der gewünschte Output anliegt? Was fällt Ihnen noch auf?

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Anleitung für VHDL tools

Anleitung für VHDL tools Anleitung für VHDL tools Harald Affenzeller V 1.0.0 Email: Harald.Affenzeller@fh-hagenberg.at Hagenberg, 14. Oktober 2003 Zusammenfassung Dieses Dokument stellt eine Anleitung zur Verwendung von eingesetzten

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v5.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v5.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 4. Semester - SS 2006 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-0341-97 32213 Zimmer: HG

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

Von SystemC zum FPGA in 7 Schritten. Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule

Von SystemC zum FPGA in 7 Schritten. Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule Von SystemC zum FPGA in 7 Schritten Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule Bremen. Mirko Kruse 21. November 2004 Inhalt 1 Einleitung...1 2 Benötigte Software...1

Mehr

Anleitung zu ChipScope Pro

Anleitung zu ChipScope Pro Anleitung zu ChipScope Pro Das von Xilinx gelieferte Tool ChipScope Pro erlaubt die Implementierung eines Logic Analysator Kerns auf dem Spartan III Baustein. 1.1 Erstellen eines Logic Analysator Kerns

Mehr

Codegenerierung für FPGAs aus einem Simulink-Modell (Schritt-für-Schritt-Anleitung)

Codegenerierung für FPGAs aus einem Simulink-Modell (Schritt-für-Schritt-Anleitung) Codegenerierung für FPGAs aus einem Simulink-Modell (Schritt-für-Schritt-Anleitung) Folgende Schritt-für-Schritt-Anleitung zeigt exemplarisch den Arbeitsablauf der HDLCodegenerierung für das Spartan-3E

Mehr

Einführung in Automation Studio

Einführung in Automation Studio Einführung in Automation Studio Übungsziel: Der links abgebildete Stromlaufplan soll mit einer SPS realisiert werden und mit Automation Studio programmiert werden. Es soll ein Softwareobjekt Logik_1 in

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Xilinx ISE Tutorial. Abbildung 1: FPGA auswählen

Xilinx ISE Tutorial. Abbildung 1: FPGA auswählen Xilinx ISE Tutorial 1 Einführung Die HaDePrak-DLX wird mithilfe der Xilinx ISE Software aufgebaut. Sie integriert einen Editor für Hardwarebeschreibungssprachen, ein Eingabeprogramm für schematische Layouts

Mehr

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum 12-213, wa@eit.uni-kl.de Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board Bild 1: Zusatzleiterplatte

Mehr

Welche Schritte sind nötig, um ein Update per Computer durchzuführen?

Welche Schritte sind nötig, um ein Update per Computer durchzuführen? Flashanleitung Vorraussetzung für ein Update über den PC - Windows PC (XP, VISTA, 7) - 32-Bit- oder 64-Bit-Betriebssystem - Bildschirmauflösung: mind. 1024 x 768 px Welche Schritte sind nötig, um ein Update

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Einführung in Xilinx Webpack ISE 10.1

Einführung in Xilinx Webpack ISE 10.1 Einführung in Xilinx Webpack ISE 10.1 Diese Version beschreibt sowohl die Benutzung des Spartan2 als auch des Spartan3 Version Oktober 2010 Urs Graf 1 Installation... 3 2 Was ist das Webpack?... 4 2.1

Mehr

Anleitung zum Erstellen einer Library (Altium)

Anleitung zum Erstellen einer Library (Altium) Anleitung zum Erstellen einer Library (Altium) 1, Neue Library erstellen: File -> New -> Library -> Schematic Library Danach öffnet sich eine Zeichenfläche und am Rand eine Library Leiste. 1,1 Umbenennen

Mehr

Prof. Dr. Pollakowski 19.03.2013

Prof. Dr. Pollakowski 19.03.2013 Installations- und Bedienungsanleitung für die Mikrocontroller-Entwicklungsumgebung, das Mikrocontroler-Experimentalsystem und den Mikrocontroller-Simulator 1 Begriffe: Entwicklungsumgebung = ein Programm

Mehr

KOMPRIMIERUNGS & VERSCHLÜSSELUNGS- TOOL

KOMPRIMIERUNGS & VERSCHLÜSSELUNGS- TOOL SECRETZIP KOMPRIMIERUNGS- & VERSCHLÜSSELUNGS-Programm (nur für Windows) Das Programm ist auf dem USB Flash Drive enthalten. Bitte lesen Sie das Handbuch für den USB Flash Drive oder besuchen Sie integralmemory.com,

Mehr

CPLD Auswahl und Design

CPLD Auswahl und Design CPLD Auswahl und Design Sascha Schade DL1DRS 9. Januar 2010 Für ein software defined radio (SDR) wurde ein verstellbarer Taktgenerator, der den Analogschalter speist, als Design für einen CPLD synthetisiert

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Leitfaden für die Installation der freien Virtual Machine. C-MOR Videoüberwachung auf einem VMware ESX Server

Leitfaden für die Installation der freien Virtual Machine. C-MOR Videoüberwachung auf einem VMware ESX Server Diese Anleitung illustriert die Installation der Videoüberwachung C-MOR Virtuelle Maschine auf VMware ESX Server. Diese Anleitung bezieht sich auf die Version 4 mit 64-Bit C-MOR- Betriebssystem. Bitte

Mehr

PG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14

PG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14 PG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14 Einführung Benötigtes Material: Notebook oder Computer PCD1 E-Controller USB Kabel Schulungsplatine Ethernet Kabel Benötigte Software

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Willkommen. Programmierung (MGP) von FPGAs. zur Präsentation

Willkommen. Programmierung (MGP) von FPGAs. zur Präsentation Willkommen zur Präsentation Modulare Grafische Programmierung (MGP) von FPGAs Dr.-Ing. J. Pospiech AVT GmbH Ilmenau Am Hammergrund 1 98693 Ilmenau Tel: +49 (0)3677 / 64 79 0 Fax: +49 (0)3677 / 64 79 69

Mehr

1. Vorbereiten das Host, folgende Software Pakete müssen installiert werden: gnome-devel bison flex texinfo libncurses5-dev git codeblocks putty

1. Vorbereiten das Host, folgende Software Pakete müssen installiert werden: gnome-devel bison flex texinfo libncurses5-dev git codeblocks putty 1. Vorbereiten das Host, folgende Software Pakete müssen installiert werden: gnome-devel bison flex texinfo libncurses5-dev git codeblocks putty 2. Buildroot installieren Buildroot (www.buildroot.org)

Mehr

Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg am Beispiel myethernet-projekt

Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg am Beispiel myethernet-projekt Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg am Beispiel myethernet-projekt Zielstellung Dieser Schnelleinstieg demonstriert das Arbeiten mit dem Klassendiagramm in SiSy AVR, am Beispiel des myethernet-projektes.

Mehr

IVE-W530BT. Bluetooth Software Update Manual mit Windows 7 09.03.2015

IVE-W530BT. Bluetooth Software Update Manual mit Windows 7 09.03.2015 Bluetooth Software Update Manual mit Windows 7 IVE-W530BT 1 Einleitung In der Anleitung wird die Vorgehensweise zum aktualisieren der Radio Bluetooth Firmware beschrieben. Bitte beachten Sie alle Warnhinweise

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

LÖSUNGEN AUFGABEN: EXCEL XP (OHNE DATEIEN)

LÖSUNGEN AUFGABEN: EXCEL XP (OHNE DATEIEN) LÖSUNGEN AUFGABEN: EXCEL XP (OHNE DATEIEN) Übung (1) Elemente des Excelfensters Ordnen Sie die Begriffe richtig zu: [1] Titelleiste (Programmleiste) [9] waagrechte/horizontale Bildlaufleiste [2] Menüleiste

Mehr

Installationsanleitung für das Touch Display: S170E1-01 LCD A170E1-T3 ChiMei - egalaxy

Installationsanleitung für das Touch Display: S170E1-01 LCD A170E1-T3 ChiMei - egalaxy Installationsanleitung für das Touch Display: S170E1-01 LCD A170E1-T3 ChiMei - egalaxy 1. Schnellanleitung - Seite 2 2. Ausführlichere Anleitung - Seite 3 a) Monitor anschließen - Seite 3 Alternativer

Mehr

Verschlüsseln von USB-Sticks durch Installation und Einrichtung von TrueCrypt

Verschlüsseln von USB-Sticks durch Installation und Einrichtung von TrueCrypt Verschlüsseln von USB-Sticks durch Installation und Einrichtung von TrueCrypt 1. Die Dateien truecrypt-4.3a.zip (http://www.truecrypt.org/downloads.php)und langpack-de- 1.0.0-for-truecrypt-4.3a.zip (http://www.truecrypt.org/localizations.php)

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Informatik I Tutorial

Informatik I Tutorial ETH Zürich, D-INFK/D-BAUG Herbstsemester 2015 Dr. Martin Hirt Daniel Jost Informatik I Tutorial Dieses Tutorial hat zum Ziel, die notwendigen Tools auf dem eigenen Computer zu installieren, so dass ihr

Mehr

Für den Zugriff vom PC aus die TCP/IP Netzwerkeinstellung des PC auf DHCP bzw. automatisch stellen,

Für den Zugriff vom PC aus die TCP/IP Netzwerkeinstellung des PC auf DHCP bzw. automatisch stellen, DIGITRONIC GmbH - Seite: 1 Ausgabe: 11.05.2012 Einstellanleitung GSM XSBOXR6VE Diese Anleitung gilt für die Firmware Version 1.1 Zunächst die SIM Karte mit der richtigen Nummer einsetzten (siehe Lieferschein).

Mehr

ModelSim Xilinx Edition

ModelSim Xilinx Edition ModelSim Xilinx Edition Die Firma Xilinx Inc stellt eine kostenfreie, zeitlich unbegrenzte Evaluierungsversion des Simulations- und Synthesewerkzeugs ModelSim Xilinx Edition zur Verfügung, für die Sie

Mehr

Installationsleitfaden kabelsafe storage mit FileZilla Client Programm

Installationsleitfaden kabelsafe storage mit FileZilla Client Programm Installationsleitfaden kabelsafe storage mit FileZilla Client Programm Installationsanleitung kabelsafe storage unter Verwendung des kostenlos unter verschiedenen Betriebssystemplattformen (Windows, Apple

Mehr

Tutorial 12 (OS/390 2.7, Windows XP) v03-2005-11-03. Tutorial 12. CICS-Zugriff mit Hilfe von MQ und einem Java-Klienten

Tutorial 12 (OS/390 2.7, Windows XP) v03-2005-11-03. Tutorial 12. CICS-Zugriff mit Hilfe von MQ und einem Java-Klienten Tutorial 12 CICS-Zugriff mit Hilfe von MQ und einem Java-Klienten Vorbereitende Konfiguration des Windows XP-Klienten Dieses Tutorial behandelt die Vorbereitungen, die notwendig sind, um das Tutorial 12

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Digitaltechnik SS2013. Praktikum Digitaltechnik

Digitaltechnik SS2013. Praktikum Digitaltechnik Praktikum Digitaltechnik Inhalt Praktikum Digitaltechnik... 1 Durchführung... 1 Versuch 01: CMOS-Transistoren als Logikgatter... 2 1.1 Transferkennlinie U out (U in ) eines Inverters... 2 1.2 Verzögerung

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Leitfaden zur ersten Nutzung der R FOM Portable-Version für Windows (Version 1.0)

Leitfaden zur ersten Nutzung der R FOM Portable-Version für Windows (Version 1.0) Leitfaden zur ersten Nutzung der R FOM Portable-Version für Windows (Version 1.0) Peter Koos 03. Dezember 2015 0 Inhaltsverzeichnis 1 Voraussetzung... 3 2 Hintergrundinformationen... 3 2.1 Installationsarten...

Mehr

BioAdmin v3 Software Manual

BioAdmin v3 Software Manual BioAdmin v3 Software Manual Version Okt. 06 Revision 1.1 Deutsche Kurzfassung Systemanforderungen Die BioAdmin Software benötigt einen PC mit Microsoft Windows 2K/XP-SP2 als Betriebssystem und eine RS-232

Mehr

Visualisierung eines Step7-Programmes in WinCC

Visualisierung eines Step7-Programmes in WinCC Simatic WinCC - Panel Seite 1 Visualisierung eines Step7-Programmes in WinCC MPI-Bus Projektierungsrechner mit Simatic Manager und WinCC Multi-Panel Simatic 300 MPI-Bus Reelle Einund Ausgänge Simatic WinCC

Mehr

Board 1 - Teil 2. www.boxtec.ch. by AS. Prozessor Board 1 mit dem AT 1284 P, 3 x Ports, ISP und 2 x I 2 C Bus = Teil 2 Der erste Start =

Board 1 - Teil 2. www.boxtec.ch. by AS. Prozessor Board 1 mit dem AT 1284 P, 3 x Ports, ISP und 2 x I 2 C Bus = Teil 2 Der erste Start = www.boxtec.ch by AS playground.boxtec.ch/doku.php/tutorial s Prozessor Board 1 mit dem AT 1284 P, 3 x Ports, ISP und 2 x I 2 C Bus = Teil 2 Der erste Start = Board 1 - Teil 2 Copyright Sofern nicht anders

Mehr

D i g i t a l l a b o r

D i g i t a l l a b o r Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel D i g i t a

Mehr

Anleitung. Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4. Designflow. 1. Project Configuration Folder/Sources. 2. Functional Simulation

Anleitung. Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4. Designflow. 1. Project Configuration Folder/Sources. 2. Functional Simulation Anleitung Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4 Designflow ModelSim ISE (XILINX) 1. Project Configuration Folder/Sources 2. Functional Simulation 3.1 Project Configuration Folder/Device/Sources

Mehr

1 MAKROS AUFZEICHNEN. 1.1 Einführung

1 MAKROS AUFZEICHNEN. 1.1 Einführung Makro Aufzeichnen Makro + VBA 2010 effektiv 1 MAKROS AUFZEICHNEN 1.1 Einführung Sie können Excel sehr einfach automatisieren, indem Sie ein Makro aufzeichnen. Ähnlich wie bei einem Kassettenrecorder werden

Mehr

Hex Datei mit Atmel Studio 6 erstellen

Hex Datei mit Atmel Studio 6 erstellen Hex Datei mit Atmel Studio 6 erstellen Es werden generell keine Atmel Studio Dateien ins Repository geladen, da jeder seine Dateien an anderen Orten liegen hat und weil nicht jeder das Atmel Studio 6 benutzt.

Mehr

Sie möchten einen neuen Termin im Kalender anlegen:

Sie möchten einen neuen Termin im Kalender anlegen: Termin anlegen Sie möchten einen neuen Termin im Kalender anlegen: Wählen Sie Tag und Uhrzeit durch entsprechendes setzten der Markierung im Kalender Taste Enter drücken, um neuen Termin anzulegen Termindaten

Mehr

Software mit Tests & Beispielen

Software mit Tests & Beispielen Prüfverfahren Anleitung INHALT Allgemeine Angaben 1. DAS GERÄT... 3 2. DAS GERÄT TESTEN... 4 3. DIE KOMMUNIKATION MIT DEM PC TESTEN... 6 Software mit Tests & Beispielen 1. DIE TESTPROGRAMME HERUNTERLADEN...

Mehr

myavr Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg für myavr Board MK3

myavr Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg für myavr Board MK3 myavr Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg für myavr Board MK3 Zielstellung Dieser Schnelleinstieg demonstriert das Arbeiten mit dem Klassendiagramm in SiSy AVR mit der Bibliothek für das

Mehr

EXPANDIT. ExpandIT Client Control Kurzanleitung. utilities. be prepared speed up go mobile. Stand 14.11.07

EXPANDIT. ExpandIT Client Control Kurzanleitung. utilities. be prepared speed up go mobile. Stand 14.11.07 ExpandIT Client Control Kurzanleitung Stand 14.11.07 Inhaltsverzeichnis ExpandIT Client Control 3 Installationshinweise 3 System-Voraussetzungen 3 Installation 3 Programm starten 6 Programm konfigurieren

Mehr

SX3 PC Software rev. 0.99c

SX3 PC Software rev. 0.99c SX3 PC Software rev. 0.99c SX3 ist ein Programm zur Steuerung einer Selectrix Digitalzentrale unter Linux bzw. Windows. Mit SX3 haben Sie die Möglichkeit Selectrix -Loks zu fahren, Weichen zu Schalten

Mehr

Informatik 1 Tutorial

Informatik 1 Tutorial ETH Zürich, D-INFK/D-BAUG Herbstsemester 2014 Dr. Martin Hirt Christian Badertscher Informatik 1 Tutorial Dieses Tutorial hat zum Ziel, die notwendigen Tools auf dem eigenen Computer zu installieren, so

Mehr

,QVWDOODWLRQXQG.RQILJXUDWLRQYRQ,QWHUEDVH

,QVWDOODWLRQXQG.RQILJXUDWLRQYRQ,QWHUEDVH ,QVWDOODWLRQXQG.RQILJXUDWLRQYRQ,QWHUEDVH Autor: Claus Blank Datum: 11.07.2005 ABIES IT GmbH Planckstraße 10 D-37073 Göttingen Tel.: 0551/49 803-0 Fax: 0551/49 803-33 www.abies.de zentrale@abies.de 1. Stellen

Mehr

Handbuch B4000+ Preset Manager

Handbuch B4000+ Preset Manager Handbuch B4000+ Preset Manager B4000+ authentic organ modeller Version 0.6 FERROFISH advanced audio applications Einleitung Mit der Software B4000+ Preset Manager können Sie Ihre in der B4000+ erstellten

Mehr

SCHNELLEINSTIEG ZUM TOOL LONMAKER

SCHNELLEINSTIEG ZUM TOOL LONMAKER Fakultät Informatik, Institut für Angewandte Informatik, Professur Technische Informationssysteme SCHNELLEINSTIEG ZUM TOOL LONMAKER Betreuer: Dipl.-Ing. A. Cemal Özlük Dipl.-Inf. Uwe Ryssel ALLGEMEINE

Mehr

Hinweis... 3. Beschreibung der Symbolleiste... 4. Einstellungen... 6. Kommunikationseinstellungen... 11. Erstellung eines neuen Auftrags...

Hinweis... 3. Beschreibung der Symbolleiste... 4. Einstellungen... 6. Kommunikationseinstellungen... 11. Erstellung eines neuen Auftrags... Erste Schritte Inhalt Hinweis... 3 Beschreibung der Symbolleiste... 4 Einstellungen... 6 Allgemeine Einstellungen... 6 Einstellung Programm... 7 Einstellung Spektralfotometer... 8 Einstellung Anzeige...

Mehr

Psi unter Microsoft Windows benutzen

Psi unter Microsoft Windows benutzen Psi unter Microsoft Windows benutzen Andreas Stöcker Juni 2008 Installation Auf der Webseite http://psi-im.org/ kann das Programm für Windows heruntergeladen werden. Doppelklicken Sie auf die setup- Datei,

Mehr

1 Vorraussetzungen. 2 Installiere Eclipse. FS 2015 Herausgabe: 2015-02-18 Dr. A. L. Schüpbach, D. Sidler, Z. István Departement Informatik, ETH Zurich

1 Vorraussetzungen. 2 Installiere Eclipse. FS 2015 Herausgabe: 2015-02-18 Dr. A. L. Schüpbach, D. Sidler, Z. István Departement Informatik, ETH Zurich 252-0842-00L Programmieren und Problemlösen Eclipse Tutorial FS 2015 Herausgabe: 2015-02-18 Dr. A. L. Schüpbach, D. Sidler, Z. István Departement Informatik, ETH Zurich 1 Vorraussetzungen Falls Java noch

Mehr

Installationsanleitung für die im Praktikum benötigte Software

Installationsanleitung für die im Praktikum benötigte Software LEHRSTUHL FÜR MIKROELEKTRONIK Prof. Dr.-Ing. Yiannos Manoli ALBERT-LUDWIGS- UNIVERSITÄT FREIBURG Installationsanleitung für die im Praktikum benötigte Software In den folgenden Übungen werden die Programme

Mehr

Einführung in Altera Quartus II 11.0

Einführung in Altera Quartus II 11.0 Einführung in Altera Quartus II 11.0 Version 0.1 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum

Mehr

JSF (JavaServer Faces) Erstellen einer Webseite

JSF (JavaServer Faces) Erstellen einer Webseite Universität Bayreuth Lehrstuhl für Angewandte Informatik IV Datenbanken und Informationssysteme Prof. Dr.-Ing. Jablonski JSF (JavaServer Faces) Erstellen einer Webseite Dipl. Inf. Manuel Götz Dipl. Inf.

Mehr

Erste Schritte mit Elvis 3 ein Beispielprojekt

Erste Schritte mit Elvis 3 ein Beispielprojekt Erste Schritte mit Elvis 3 ein Beispielprojekt Um Sie mit Elvis 3 vertraut zu machen möchten wir mit Ihnen mit diesem Kapitel ein Beispielprojekt vom ersten Aufruf von Elvis 3 bis zum Testlauf aufbauen.

Mehr

PLI Tutorial 01 Inbetriebnahme von RDZ Version 7.5

PLI Tutorial 01 Inbetriebnahme von RDZ Version 7.5 PLI Tutorial 01 Inbetriebnahme von RDZ Version 7.5 Inhalt 1. Vorbereitung: Kopieren der DVD auf Festplatte 2. Inbetriebnahme von RDZ 3. Zugriff auf den Remote z/os Host 4. Herunterfahren RDz ist ein Integrated

Mehr

Arbeiten mit dem Outlook Add-In

Arbeiten mit dem Outlook Add-In Arbeiten mit dem Outlook Add-In Das Outlook Add-In ermöglicht Ihnen das Speichern von Emails im Aktenlebenslauf einer Akte. Außerdem können Sie Namen direkt aus BS in Ihre Outlook-Kontakte übernehmen sowie

Mehr

Update Anleitung I-STAT unter Windows 7 WICHTIG. > Version A30 Die Aktivierung der Barcodefunktion muß vor dem Update aktiviert werden

Update Anleitung I-STAT unter Windows 7 WICHTIG. > Version A30 Die Aktivierung der Barcodefunktion muß vor dem Update aktiviert werden Update Anleitung I-STAT unter Windows 7 WICHTIG > Version A30 Die Aktivierung der Barcodefunktion muß vor dem Update aktiviert werden Aktivierung der Barcodelesefunktion am VetScan I-STAT 1 1. VetScan

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Saia PG5 Starter Training Saia PG5 Core und Fupla. Daniel Ernst DE02 2013-02-25

Saia PG5 Starter Training Saia PG5 Core und Fupla. Daniel Ernst DE02 2013-02-25 Saia PG5 Starter Training Saia PG5 Core und Fupla Daniel Ernst DE02 2013-02-25 Einführung Das Licht im Keller soll eingeschaltet werden wenn ein Schalter gedrückt wird. Zusätzlich soll das Licht auch über

Mehr

Es sollte die MS-DOS Eingabeaufforderung starten. Geben Sie nun den Befehl javac ein.

Es sollte die MS-DOS Eingabeaufforderung starten. Geben Sie nun den Befehl javac ein. Schritt 1: Installation des Javacompilers JDK. Der erste Start mit Eclipse Bevor Sie den Java-Compiler installieren sollten Sie sich vergewissern, ob er eventuell schon installiert ist. Gehen sie wie folgt

Mehr

Installation Anleitung für JTheseus und MS SQL Server 2000

Installation Anleitung für JTheseus und MS SQL Server 2000 Installation Anleitung für JTheseus und MS SQL Server 2000 Inhaltsverzeichnis 1 Installation der Datenbank 3 1.1 Erstellen der Datenbank 3 1.2 Tabellen und Minimal Daten einlesen 4 1.3 Benutzer JTheseus

Mehr

Anleitung SDDesigner. MICROSWISS-Zentrum Rapperswil

Anleitung SDDesigner. MICROSWISS-Zentrum Rapperswil Hochschule HSR Oberseestrasse 10 CH-8640 Tel 055 222 47 00 Fax 055 222 47 07 1. März 1999 Anleitung SDDesigner 1. Einführung Der SDDesigner ist ein grafisches Eingabewerkzeug für Übergangsdiagramme. Die

Mehr

Outlook - CommuniGate Pro Schnittstelle installieren. Outlook - Elemente freigeben. Outlook - Freigegebene Elemente öffnen

Outlook - CommuniGate Pro Schnittstelle installieren. Outlook - Elemente freigeben. Outlook - Freigegebene Elemente öffnen Microsoft Outlook 1 Nutzung der Groupware mit Microsoft Outlook 1.1 Outlook - CommuniGate Pro Schnittstelle installieren 4 1.2 Outlook - Elemente freigeben 11 1.3 Outlook - Freigegebene Elemente öffnen

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Anleitung zum Usenet Programm GRABIT 1.7.2 Beta 6

Anleitung zum Usenet Programm GRABIT 1.7.2 Beta 6 Anleitung zum Usenet Programm GRABIT 1.7.2 Beta 6 Erstellt für http://www.premium-news.com Bei der Installation: Klicken Sie einmal (bei Bedingungen) auf I accept the agreement und dann 4-mal auf Next

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Daten Sichern mit dem QNAP NetBak Replicator 4.0

Daten Sichern mit dem QNAP NetBak Replicator 4.0 Daten Sichern mit dem QNAP NetBak Replicator 4.0 Was ist NetBak Replicator: Der NetBak Replicator ist ein Backup-Programm von QNAP für Windows, mit dem sich eine Sicherung von Daten in die Giri-Cloud vornehmen

Mehr

Python Installation. 1 Vorbereitung. 1.1 Download. Diese Anleitung ist für Windows ausgelegt.

Python Installation. 1 Vorbereitung. 1.1 Download. Diese Anleitung ist für Windows ausgelegt. Python Installation 1 Vorbereitung Diese Anleitung ist für Windows ausgelegt. 1.1 Download Python kann online unter https://www.python.org/downloads/ heruntergeladen werden. Hinweis: Im CoderDojo verwenden

Mehr

Der ultimative Geschwindigkeits-Regler

Der ultimative Geschwindigkeits-Regler Der ultimative Geschwindigkeits-Regler für Windows 4 Schwachstellen eliminieren 4 Überflüssiges entfernen 4 Performance steigern Windows 8.1/8/7/Vista/XP Befreien Sie Ihren Windows-Rechner von ausbremsenden

Mehr

4D Server v12 64-bit Version BETA VERSION

4D Server v12 64-bit Version BETA VERSION 4D Server v12 64-bit Version BETA VERSION 4D Server v12 unterstützt jetzt das Windows 64-bit Betriebssystem. Hauptvorteil der 64-bit Technologie ist die rundum verbesserte Performance der Anwendungen und

Mehr

GameGrid mit BlueJ Seite 1

GameGrid mit BlueJ Seite 1 GameGrid mit BlueJ Seite 1 GameGrid mit BlueJ BlueJ ist eine Java-Entwicklungsumgebung mit einer einfachen Benutzeroberfläche. Dem Benutzer stehen ein einfacher Texteditor mit Syntaxhervorhebung und ein

Mehr

Newsletter. 1 Erzbistum Köln Newsletter

Newsletter. 1 Erzbistum Köln Newsletter Newsletter 1 Erzbistum Köln Newsletter Inhalt 1. Newsletter verwalten... 3 Schritt 1: Administration... 3 Schritt 2: Newsletter Verwaltung... 3 Schritt 3: Schaltflächen... 3 Schritt 3.1: Abonnenten Verwaltung...

Mehr

Praktikum Steuerungstechnik

Praktikum Steuerungstechnik LABOR FÜR STEUERUNGS- UND REGELUNGSTECHNIK FH München University of Applied Sciences, FK06 Raum D6/D7, r.froriep@fhm.edu Praktikum Steuerungstechnik Prof. Dr.-Ing. R. Froriep, Dipl.-Ing. F. Kuplent Experimente

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Grundeinstellung des Druckers für die Erstellung von PostScript-Dateien unter Windows 98

Grundeinstellung des Druckers für die Erstellung von PostScript-Dateien unter Windows 98 Grundeinstellung des Druckers für die Erstellung von PostScript-Dateien unter Windows 98 Die meisten Einstellungen können nicht in WORD vorgenommen werden, sondern nur auf der Betriebssystemebene. Für

Mehr

OpenSSH installieren (Windows) Was ist OpenSSH?

OpenSSH installieren (Windows) Was ist OpenSSH? OpenSSH installieren (Windows) Was ist OpenSSH? OpenSSH (Open Secure Shell) ist eine freie SSH/SecSH-Protokollsuite, die Verschlüsselung für Netzwerkdienste bereitstellt, wie etwa Remotelogins, also Einloggen

Mehr

Erstellung eines SharkNet Installers für Windows mit Inno Setup Compiler 5.4.2

Erstellung eines SharkNet Installers für Windows mit Inno Setup Compiler 5.4.2 Erstellung eines SharkNet Installers für Windows mit Inno Setup Compiler 5.4.2 1. Benötigte Software Zur Erstellung des Installers wird folgende Software benötigt. Es wird sich in dieser Dokumentation

Mehr

Wie Sie Text um Ihre Bilder oder Bildinhalte fließen lassen, ganz so wie beim Profi-Layout Ihrer Lieblingszeitschrift

Wie Sie Text um Ihre Bilder oder Bildinhalte fließen lassen, ganz so wie beim Profi-Layout Ihrer Lieblingszeitschrift Texte gestalten mit Word und OpenOffice X T YYY/01 325/01 Register STU Wie Sie Text um Ihre Bilder oder Bildinhalte fließen lassen, ganz so wie beim Profi-Layout Ihrer Lieblingszeitschrift Wir zeigen Ihnen

Mehr

DYNTEST-Terminal Bedienungsanleitung

DYNTEST-Terminal Bedienungsanleitung DYNTEST-Terminal Bedienungsanleitung Schritt 1 (Software Installation): Entpacken und öffnen Sie dann die Setup -Datei. Wählen Sie Ihre Sprache und drücken dann den OK -Button, woraufhin die Installationsvorbereitung

Mehr

Konfigurationslanleitung für J2EE und Eclipse im KBS-Pool

Konfigurationslanleitung für J2EE und Eclipse im KBS-Pool Konfigurationslanleitung für J2EE und Eclipse im KBS-Pool JBoss vorbereiten Wir haben ein zip-archiv mit JBoss 4.0.5 in /opt/jboss-4.0.5.zip hinterlegt. Entpacken Sie dieses in ihrem Homeverzeichnis an

Mehr

Wer möchte, kann sein Programm auch auf echter Hardware testen

Wer möchte, kann sein Programm auch auf echter Hardware testen U4 3. Übungsaufgabe U4 3. Übungsaufgabe Grundlegendes zur Übung mit dem AVR-μC Register I/O-Ports AVR-Umgebung Peripherie U4.1 U4-1 Grundlegendes zur Übung mit dem AVR-mC U4-1 Grundlegendes zur Übung mit

Mehr

Fehler! Kein Text mit angegebener Formatvorlage im Dokument.

Fehler! Kein Text mit angegebener Formatvorlage im Dokument. Windows 2003 Server paedml Windows 2.7 für schulische Netzwerke Anleitung: 64-Bit für Windows 7 Clients - Ergänzung Stand: 27.11.2012 Fehler! Kein Text mit angegebener Formatvorlage im Dokument. Impressum

Mehr

Talk2M Konfiguration für ewon DSL/LAN - Modelle

Talk2M Konfiguration für ewon DSL/LAN - Modelle Talk2M Konfiguration für ewon DSL/LAN - Modelle Seite 1 von 17 ewon - Technical Note Nr. 016 Version 1.0 Talk2M Konfiguration für ewon DSL/LAN - Modelle Einrichtung des Talk2M Services für die Verbindung

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Bogobit Digimux mit Viessmann Multiplex-Signalen und Märklin Central Station 2

Bogobit Digimux mit Viessmann Multiplex-Signalen und Märklin Central Station 2 Bogobit Digimux mit Viessmann Multiplex-Signalen und Märklin Central Station 2 1 Ein neues Signal in der CS2 anlegen Führen Sie die folgenden Schritte aus, um ein neues Signal in der CS2 anzulegen und

Mehr